Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 351 - 400 out of 1,613

Document Document Title
WO/2005/098921A1
Disclosed is an alkaline etchant for controlling the surface roughness of a semiconductor wafer. The alkaline etchant is composed of an aqueous solution of sodium hydroxide or an aqueous solution of potassium hydroxide, and has a weight ...  
WO/2005/096747A2
Silicon oxide etching solutions containing the product of at least one bifluoride source compound dissolved in a solvent consisting of at least one carboxylic acid, and further comprising from about (0.5) to about (3) percent by solution...  
WO/2005/093009A1
An etched dielectric film for use in microfluidic devices. Channels, recesses, and other features can be etched into the films to make them suitable for use in microfluidic devices.  
WO/2005/091347A1
There is provided a method of performing selective low-temperature wet etching of an SiN film against an SiO film and Si. Further, there is provided a solution for selective etching of an SiN film, comprising hydrogen fluoride, an ether ...  
WO/2005/091070A1
A surface treating agent for use in manufacturing a substrate having a pattern composed of a plurality of regions having different surface free energies by the use of the lithography method, which comprises at least one compound selected...  
WO/2005/086551A1
An etching solution having a high concentration of triazole compound capable of forming a coating with etching inhibiting effect added to a cupric chloride solution. In the operation of circuit pattern formation through etching treatment...  
WO/2005/083685A1
An etched dielectric film for use in a hard disk drive. The dielectric film has a thickness of about 25µm or greater when it is attached to a supporting metal substrate, and is subsequently etched to a thickness of about 20µm or less.  
WO/2005/076871A2
Lapping compositions are disclosed which comprise a fluid useful during a process to shape the surface of a substrate, where that process includes contacting a target surface of the substrate with one or more abrasives while also contact...  
WO/2005/053004A1
Etching solutions described herein include a) at least one fluorine-based constituent, b) at least one acid constituent, such as a strong acid in some cases, and c) a suitable solvent constituent, wherein the etching solution selectively...  
WO/2005/050673A1
To provide functional paste with etching activity and good electrical properties. Functional paste comprising a metal powder, an etching agent, a binder and an organic solvent.  
WO/2005/047422A1
An etching and/or cleaning solution includes: a) at least one fluorine-based salt constituent, b) a non-polar solvent constituent in an aqueous environment, wherein the solution constituents are at a suitable concentration to etch polyme...  
WO/2005/019499A1
A liquid for removing a degenerated metal layer formed on a conductive metal is disclosed which contains a hydrogen fluoride, an organic solvent and water such that the weight ratio of hydrogen fluoride : organic solvent : water is 0.001...  
WO/2005/017230A1
A titanium-containing layer which is formed on a silicon substrate or a silicate glass substrate and mainly contains one or more substances selected from the group consisting of titanium, titanium oxides, titanium nitrides and titanium o...  
WO/2004/111157A1
A polishing fluid which comprises an oxidizing agent, an agent for dissolving an oxidized metal, an anticorrosive agent for a metal and water, wherein the anticorrosive agent for a metal comprises at least one of a compound having an ami...  
WO/2004/112122A1
A method of highlighting crystal defects in a semiconductor material and a chromium-free etching solution for use therein. The etching solution includes manganese (VII) as an oxidising agent for preferentially etching crystal defects in ...  
WO/2004/108592A1
Methods for producing highly pure solutions containing hydrogen fluoride, one or more salts thereof or a mixture of two or more thereof, by adding hydrogen fluoride to at least one anhydrous solvent, wherein the hydrogen fluoride is adde...  
WO/2004/100244A1
Disclosed is a method for texturing surfaces of silicon wafers, comprising the steps of dipping the silicon wafers into an etching solution containing water, concentrated hydrofluoric acid, and concentrated nitric acid, and adjusting the...  
WO/2004/084288A1
A process for the production of etching or cleaning fluids characterized by comprising (1) at least one fluoride salt or hydrogen difluoride salt prepared from both at least one member selected from the group consisting of ammonia, hydro...  
WO2004030041B1
A slurry includes a plurality of particles and at least one selective adsorption additive. The particles are preferably composite particles including a core surrounded by a shell provided by the selective adsorption additive. The slurry ...  
WO/2004/063083A2
A method for producing an apparatus for transferring small amounts of liquids includes bonding a plurality of parallel fibers having plural coaxial layers (14, 16, 18) into a bundle (20), slicing the bundle of parallel fibers (12) in pla...  
WO/2004/044091A1
The present invention is directed to certain fluorinated surfactants, and use thereof in acid etch solutions, such as in aqueous buffered acid etch solutions. The etch solutions are used with a wide variety of substrates, for example, in...  
WO/2004/044092A1
Novel aqueous, acid etch solutions comprising a fluorinated surfactant are provided. The etch solutions are used with a wide variety of substrates, for example, in the etching of silicon oxide-containing substrates.  
WO/2004/032218A1
The invention relates to novel etching media in the form of etching pastes for etching selected areas or the entire area of silicon surfaces and layers, in addition to the use of said media.  
WO/2004/030062A1
A polishing compound composition for chemical mechanical polishing of a substrate contains (A) an abrasive grain, (B) an aqueous medium, (C) a tartaric acid, (D) trishydroxymethylaminomethane, and (E) one selected from the group consisti...  
WO/2004/025718A1
An etchant preferable to etch an object on which a film (a high-k film) having a relative dielectric constant of not less than 8 and a silicon oxide film are formed, wherein the etching rate of the high-k film is not less than 2 Å/min.,...  
WO/2004/020551A1
The invention relates to novel etching media in the form of printable and dispensable etching pastes for etching titanium oxide surfaces of general composition TixOy, and to the use of said etching pastes in a method for etching titanium...  
WO/2004/009730A1
A composition and process for wet stripping removal of sacrificial anti-reflective silicate material, e.g., from a substrate or article having such material deposited thereon, particularly where the sacrificial anti-reflective material i...  
WO/2004/005211A1
Compositions containing water, an organic dicarboxylic acid, a buffering agent and fluorine source and optionally a water miscible organic solvent are capable of removing etching residue.  
WO/2004/000972A1
A method of manufacturing a TFT array panel according to the present invention forms a gate wire on an insulating substrate. The gate wire includes a plurality of gate lines and a plurality of gate electrodes connected to the gate lines....  
WO/2003/107400A2
Acid etching mixtures having water content, reduced by the addition of fluorosulfonic acid. The preparation and the use of said acid etching mixtures, particularly in etching silicon, are also disclosed.  
WO/2003/104350A1
A metal polish composition contains an amine represented by general formula (1): wherein m represents an integer of from 1 to 3 and n represents an integer of from 0 to 2, with m and n being such that (3-n-m) is an integer of from 0 to 2...  
WO/2003/091377A1
A non corrosive cleaning composition that is aqueous-based, non-hazardous and will not harm the environment and is useful primarily for removing both fresh and aged plasma etch residues from a substrate. The composition comprises (a) wat...  
WO/2003/091796A1
The present invention is directed to a process which comprises removing and stripping off part of the electrophoretic display panel in order to expose and connect the conductor lines on an electrode plate to a driver circuitry. More spec...  
WO/2003/081658A1
An etchant composition which comprises a perfluoroalkylated phosphoric acid compound, oxalic acid, and water. With this etchant composition, a transparent electrode film made of indium-tin oxide can be precisely etched without leaving a ...  
WO/2003/077622A1
A process for providing a metal-seeded liquid crystal polymer comprising the steps of providing a liquid crystal polymer substrate to be treated by applying an aqueous solution comprising an alkali metal hydroxide and a solubilizer at a ...  
WO/2003/069659A1
A gas for use in cleaning a chamber and etching a silicon-containing film, characterized in that it comprises a perfluoro cyclic ether having two to four oxygen atoms bonded to carbon atoms in an ether linkage. The gas for use in cleanin...  
WO/2003/063205A2
An integrated circuit is provided comprising a substrate and discrete areas of electrically insulating and electrically conductive material, wherein the electrically insulating material is a hybrid organic-inorganic material that has a d...  
WO/2003/056616A1
A method is provided for etching quaternary interface layers of InxGa1-xAsyP1-y which are formed between layers of GaAs and InGaP in heterojunction bipolar transistors (HBTs). In accordance with the method, the interface is exposed by et...  
WO/2003/046968A1
A production method for a silicon wafer comprising a lapping process by at least free abrasive grains, and an etching process by an alkali etchant, characterized in that in the lapping process lapping is performed by using free abrasive ...  
WO/2003/035797A1
A semiconductor wafer cleaning formulation, including l−35% wt. fluoride source, 20−60% wt. organic amine(s), 0.1−40%wt. nitrogenous component, e.g., a nitrogen−containing carboxylic acid or an imine, 20−50%wt. water, and 0−2...  
WO/2003/034504A1
The invention concerns etching and doping substances free of hydrochloric/fluoride acid used for etching inorganic layers as well as for doping subjacent layers. The invention also concerns a method wherein said substances are used.  
WO/2003/022021A1
A process for providing a metal-seeded liquid crystal polymer comprises the steps of providing a liquid crystal polymer substrate, applying an aqueous solution comprising an alkali metal hydroxide and a solubilizer, to etch the liquid cr...  
WO/2003/016432A1
The levels of iron sulfide present in a conduit, such as a pipeline, are reduced by contacting the conduit, on an inner surface, with a composition obtained from an aqueous solution containing at least one compound of Formula (I) and at ...  
WO/2003/012169A1
A metal surface protection film forming agent containing a specific &agr −amino acid such as leucine and phenyl alanine, and a metal abrasive liquid using this. The agent can be used as an abrasive liquid used in a chemical−mechanica...  
WO/2003/010808A1
An apparatus for supplying tetrafluoroethylene gas to a dry etching device which has a membrane separator for separating a mixed tetrafluororthylene (TFE)&sol carbon dioxide (CO2) gas into respective gas components, a gas supplying secti...  
WO/2003/002688A1
Particulate and metal ion contamination is removed from a surface, such as a semiconductor wafer containing copper damascene or dual damascene features, employing a fluoride-free aqueous composition comprising a dicarboxylic acid and/or ...  
WO/2003/000456A2
The invention relates to a method for carrying out local laser-induced etching of solid materials. According to the invention, a surface of a solid material, which is held in contact with a fluoro-organic compound provided in a liquid or...  
WO/2002/092211A2
The present invention provides for the use of bis(perfluoroalkanesulfonyl)imide and its salts as surfactants or additives applications having an extreme environment.  
WO/2002/089192A1
The invention relates to a method of manufacturing a semiconductor device, comprising the provision of a substrate (1) with a layer of silicon (3) thereon, an inorganic anti-reflective layer (4) applied to the layer of silicon (3), and a...  
WO/2002/089193A1
The invention relates to a method of manufacturing a semiconductor device comprising the step of removing a silicon and nitrogen containing material by means of wet etching with an aqueous solution comprising hydrofluoric acid in a low c...  

Matches 351 - 400 out of 1,613