Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 1,001 - 1,050 out of 53,081

Document Document Title
WO/2022/265726A1
A method of performing x-ray spectroscopy surface material analysis of a region of interest of a sample with an evaluation system that includes a scanning electron microscope (SEM) column, an x-ray detector and an x-ray polarizer, compri...  
WO/2022/266277A1
Methods for detecting arcs in power delivery systems for plasma process chambers leverage visible arc detection sensors to facilitate in locating the arc and shutting down a power source associated with arc location. In some embodiments,...  
WO/2022/261684A1
The invention relates to an apparatus for forming a coating on and/or modifying the properties of the inner surface of a hollow article (1 ), wherein the apparatus comprises a plasma source (2), the plasma source (2) having an elongate s...  
WO/2022/266159A1
A gas delivery system for substrate processing tool includes a first gas box configured to supply a first gas mixture including one or more gases selected from a first set of N gases to a first substrate processing chamber, where N is an...  
WO/2022/263209A1
The invention relates to a signal-processing system for determining and providing a data stream describing a plasma process, said signal-processing system comprising: a) a detection device (10) which is designed to detect a signal curve ...  
WO/2022/263153A1
Apparatuses, systems, and methods for adjusting beam current using a feedback loop are provided. In some embodiments, a system 104 may include a first anode aperture 120b configured to measure a current of an emitted beam 161 during insp...  
WO/2022/258279A1
Assessment systems and methods are disclosed. In one arrangement, an effect of electrode distortion in an objective lens array is compensated. An electrode distortion is adjusted by varying an electrostatic field in the objective lens ar...  
WO/2022/257323A1
The present invention relates to an ion implantation method. In the ion implantation method, during the process of forming an implantation mask, an ONO stack is first formed on a semiconductor substrate, and then a second oxide layer and...  
WO/2022/261085A1
A radiotherapy system is provided that can include a radiation generating system having a radiation source configured to emit a charged particle beam, and a radiation probe having a first end coupled to the radiotherapy system and a seco...  
WO/2022/258446A1
The invention relates to a gas-inlet element (2) for a CVD reactor (1) with a first gas distribution volume (6) arranged rearward of a gas outlet plate (3), from which volume first pipes (4) having end portions (4') protruding from the g...  
WO/2022/256853A1
The invention relates to a method for interference variable compensation during the positioning of a sample support (2), in particular during probe microscopy, said method comprising the following steps: measuring a distance (dx1) to a f...  
WO/2022/260834A1
Embodiments provided herein include an apparatus and methods for the plasma processing of a substrate in a processing chamber. In some embodiments, aspects of the apparatus and methods are directed to reducing detectivity in features for...  
WO/2022/258271A1
The disclosure relates to a charged particle beam apparatus configured to project charged particle beams towards a sample. The charged particle beam apparatus comprises: a plurality of charged particle-optical columns configured to proje...  
WO/2022/260827A1
Embodiments provided herein generally include apparatus, e.g., plasma processing systems and methods for the plasma processing of a substrate in a processing chamber. In some embodiments, aspects of the apparatus and methods are directed...  
WO/2022/260835A1
Embodiments provided herein generally include apparatus, e.g., plasma processing systems, and methods for the plasma processing of a substrate in a processing chamber. Some embodiments are directed to a radio-frequency (RF) generation sy...  
WO/2022/260930A1
A segmented detector device with backside illumination. The detector is able to collect and differentiate between secondary electrons and backscatter electrons. The detector includes a through-hole for passage of a primary electron beam....  
WO/2022/260836A1
Embodiments provided herein generally include plasma processing systems configured to preferentially clean desired surfaces of a substrate support assembly by manipulating one or more characteristics of an in-situ plasma and related meth...  
WO/2022/256086A1
Embodiments provided herein generally include apparatus, plasma processing systems and methods for generation of a waveform for plasma processing of a substrate in a processing chamber. One embodiment includes a waveform generator having...  
WO/2022/253939A1
The invention relates to a device (1) for characterising a surface (SE) of a sample (E), comprising: − a chamber (C) comprising a medium (PE) for said sample, said chamber being connected to a pump, referred to as the primary pump (PP)...  
WO/2022/256161A1
Embodiments disclosed herein include a processing tool for measuring neutral radical concentrations. In an embodiment, the processing tool comprises a processing chamber, and a neutral radical mass spectrometry (NRMS) analyzer fluidicall...  
WO/2022/254620A1
In a particle analysis device of the present invention that analyzes one or more particles, the particle analysis device can operate in any of a plurality of operating patterns, the plurality of operating patterns including: a first oper...  
WO/2022/256588A1
Presented are sample-supporting foils and grids for cryo-electron microscopy (cryo-EM) systems, methods for making/using disclosed cryo-EM foils, grids, devices, and/or systems, and cryo-EM systems equipped with disclosed foils, grids, a...  
WO/2022/256407A1
A plasma processing apparatus includes a plasma processing chamber, a source power coupling element configured to generate plasma in an interior of the plasma processing chamber by coupling source power to the plasma processing chamber, ...  
WO/2022/254698A1
Provided are a sample image observation device and a method that is for realizing restoration of an image from sparsely sampled images, and that can improve observation throughput and usability by maintaining a restored image quality con...  
WO/2022/252707A1
The present invention relates to a method and apparatus for processing and controlling a semiconductor device, and a high-energy particle beam photolithography device. The method comprises: converting several layers of integrated circuit...  
WO/2022/256528A1
Plasma generators and methods of generating plasma are disclosed. Electrodes in a reaction zone are energized by a high voltage power source that is electrically insulated from the electrodes. A first conductor array, preferably a coil, ...  
WO/2022/256558A1
A method for processing a substrate includes performing a cyclic plasma process including a plurality of cycles, each cycle of the plurality of cycles including purging a plasma processing chamber including the substrate with a first dep...  
WO/2022/246896A1
The present application relates to a centering mechanism and a scanning electron microscope having same. The centering mechanism is mounted in the scanning electron microscope and used for performing centering calibration on an electron ...  
WO/2022/246897A1
The present application relates to an electrostatic field deflector, which is applicable to being mounted in a scanning electron microscope and comprises: a deflection polar plate and an insulation mounting base. A mounting hole is forme...  
WO/2022/251269A2
In one embodiment, an RF impedance matching circuit is disclosed. The matching circuit includes at least one electronically variable capacitor (EVC). Each EVC includes fixed capacitors, each of the fixed capacitors having a corresponding...  
WO/2022/250824A1
A plasma vapor deposition (PVD) chamber used for depositing material includes an apparatus for influencing ion trajectories during deposition in an edge region of a substrate. The apparatus includes a reflector assembly that surrounds a ...  
WO/2022/250818A1
A method and apparatus for reducing as-deposited and metastable defects relative to amorphous silicon (a-Si) thin films, its alloys and devices fabricated therefrom that include heating an earth shield positioned around a cathode in a pa...  
WO/2022/250823A1
A plasma vapor deposition (PVD) chamber used for depositing material includes an apparatus for influencing ion trajectories during deposition on a substrate. The apparatus includes at least one annular support assembly configured to be e...  
WO/2022/248138A1
The present invention provides a charged particle optical device for a charged particle system. The device projects an array of charged particle beams towards a sample. The device comprises a control lens array to control a parameter of ...  
WO/2022/251156A1
A free radical generator includes a discharge electrode assembly with discharge electrode pins in a radial pattern and electrically configured to receive one or more voltage pulses. A liquid or solid counter electrode has a surface separ...  
WO/2022/248196A1
A multi-beam charged particle system and a method of setting a working distance WD of the multi beam charged particle system is provided. With the method, the working distance is adjusted while the imaging performance of a wafer inspecti...  
WO/2022/246895A1
The present application relates to a centering mechanism and a scanning electron microscope comprising same. The centering mechanism comprises an electron gun cavity and a mounting cavity that are successively and detachably connected; t...  
WO/2022/251161A1
Exemplary methods of treating a chamber may include delivering a cleaning precursor to a remote plasma unit. The methods may include forming a plasma of the cleaning precursor. The methods may include delivering plasma effluents of the c...  
WO/2022/250998A1
A confinement ring for use in a plasma processing chamber includes an upper horizontal section, an upper vertical section, a mid-section, a lower vertical section, a lower horizontal section and a vertical extension. The upper horizontal...  
WO/2022/248296A2
A charged particle detector may include a plurality of sensing elements formed in a substrate, wherein a sensing element of the plurality of sensing elements is formed of a first region on a first side of the substrate, and a second regi...  
WO/2022/246884A1
The present application relates to a deflector mechanism capable of reducing a phase difference, comprising a rotatable deflector, a connection rod, a fixed deflector, and a mounting portion. The central axis of rotation of the rotatable...  
WO/2022/250937A1
Methods and apparatus for processing a substrate are provided herein. For example, a method for processing a substrate comprises applying a DC target voltage to a target disposed within a processing volume of a plasma processing chamber,...  
WO/2022/251074A1
A substrate holder assembly including a substrate platen, the substrate platen disposed to support a substrate at a substrate position, a halo ring, the halo ring being disposed around the substrate position, and an outer halo being disp...  
WO/2022/250879A1
Methods and apparatus for processing a substrate using improved shield configurations are provided herein. For example, a process kit for use in a physical vapor deposition chamber comprises a shield comprising an inner wall comprising a...  
WO/2022/248141A1
Multi-beam effects which reduce the accuracy, or the speed of a wafer inspection are corrected dependent on an inspection position using an improved multi-beam system and an improved wafer inspection method using the multi-beam system. T...  
WO/2022/249707A1
[Problem] To provide an electron gun which, with simple constituent members of an electron gun, can be configured to irradiate an electron beam having desired electron beam parameters onto a desired location of an irradiation target. T...  
WO/2022/249605A1
In the present invention, a condenser lens processes an ion beam so as to form a parallel beam shape and is provided with an electrically powered aperture that varies the passage area of the ion beam processed by the condenser lens and c...  
WO/2022/249371A1
With respect to an ion source 101, an ion milling device according to the present invention applies a discharge voltage Vd between an anode 203 and a cathode 201, 202, and applies an acceleration voltage Va between the anode and an accel...  
WO/2022/251090A1
In one embodiment, an RF impedance matching circuit is disclosed. The matching circuit includes at least one electronically variable capacitor (EVC). Each EVC includes fixed capacitors, each of the fixed capacitors having a corresponding...  
WO/2022/250049A1
A sample holder for an impedance microscope according to an embodiment comprises: a first insulating film having a front surface and a back surface; a second insulating film having a front surface opposite the back surface of the first i...  

Matches 1,001 - 1,050 out of 53,081