Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ABRASIVE MATERIAL HAVING A STRUCTURED SURFACE
Document Type and Number:
WIPO Patent Application WO/2015/112540
Kind Code:
A1
Abstract:
To provide an abrasive material having a structured surface that is excellent in preventing adhesion and accumulation of foreign objects, and a manufacturing method thereof. The abrasive material of an embodiment of the present disclosure is an abrasive material having an abrasive layer with a structured surface with a plurality of three-dimensional elements arranged thereon, a surface treatment selected from the group consisting of fluoride treatment and silicon treatment being performed on at least a portion of the structured surface, and the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

Inventors:
MINAMI HIDEKI (JP)
WATASE TOSHIHIKO (JP)
NAGAMURA YOKO (JP)
MASUDA SHOICHI (JP)
HATTORI JIRO (JP)
DAVID MOSES M (US)
Application Number:
PCT/US2015/012158
Publication Date:
July 30, 2015
Filing Date:
January 21, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
3M INNOVATIVE PROPERTIES CO (US)
International Classes:
B24B1/00
Foreign References:
US20100255254A12010-10-07
US20090163127A12009-06-25
Attorney, Agent or Firm:
BRAMWELL, Adam M. et al. (Post Office Box 33427Saint Paul, Minnesota, US)
Download PDF:
Claims:
WHAT IS CLAIMED IS :

1. An abrasive material comprising an abrasive layer having a structured surface with a plurality of three-dimensional elements arranged thereon, a surface treatment selected from the group consisting of fluoride treatment and silicon treatment being performed on at least a portion of the structured surface, and the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

2. The abrasive material according to claim 1 , wherein the plurality of three-dimensional elements are periodically arranged on the structured surface.

3. The abrasive material according to claim 1 or 2, wherein the silicon treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and atom layer deposition.

4. The abrasive material according to any one of claims 1 through 3, wherein the abrasive layer includes a bulk layer comprising silicon carbide and a surface coating layer comprising diamond like carbon provided on at least a portion of the bulk layer.

5. The abrasive material according to any one of claims 1 through 3, wherein the abrasive layer comprises abrasive particles and a binder.

6. The abrasive material according to any one of claims 1 through 5, wherein the plurality of three-dimensional elements have a shape selected from the group consisting of round cylinders, oval cylinders, prisms, hemispheres, semi-ellipsoids, cones, pyramids, truncated cones, truncated pyramids, hipped roof shapes, and combinations thereof.

7. A method of manufacturing an abrasive material, comprising:

providing an abrasive material comprising an abrasive layer having a structured surface configured with a plurality of three-dimensional elements arranged thereon; and

performing a surface treatment selected from the group consisting of fluoride treatment and silicon treatment on at least a portion of the structured surface of the abrasive material;

the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

8. The method according to claim 7, wherein the silicon treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and atom layer deposition.

9. An abrasive material having an abrasive layer with a structured surface configured with a plurality of three-dimensional elements arranged thereon, at least a portion of the structured surface comprising: (a) a film comprising a material selected from the group consisting of densified fluorocarbon, silicon oxycarbide, and silicon oxide; (b) a fluorine terminated surface, or (c) a combination thereof.

Description:
ABRASIVE MATERIAL HAVING A STRUCTURED SURFACE

Field of the Invention

The present disclosure relates to an abrasive material having a structured surface. In particular, the present disclosure relates to an abrasive material including an abrasive layer having a surface treated structured surface.

Background

Abrasive materials are widely used in rough polishing, chamfering, final polishing, and the like of various surfaces such as semiconductor wafers, magnetic recording media, glass plates, lenses, prisms, automotive painted surfaces, fiber optic connector end surfaces, and the like.

For example, in a chemical mechanical polishing (CMP) process of a semiconductor wafer, abrasive materials (also referred to as conditioners or dresser disks) including an abrasive layer having a structured surface

systematically disposing a plurality of three-dimensional elements such as a three-dimensional element having a quadrangular pyramid shape, hemisphere shape, or the like are used for the purpose of rough polishing of a polishing pad (also referred to as dressing or conditioning). The CMP process includes performing CMP by providing a slurry including abrasive particles between the polishing pad and a semiconductor wafer. The conditioners include a silicon carbide layer coated with a monolithic diamond layer as an abrasive layer, and are attached to a supporting disk or ring for example. The abrasive material roughens the surface of the polishing pad, and eliminates clogging of the polishing pad surface. The CMP process is stabilized in this manner. This sort of conditioner including an abrasive layer having a structured surface is advantageous in that large scratches caused by dislodged abrasive particles do not occur on the semiconductor wafer surface as compared to other conventional conditioners having abrasive particles such as agglomerate diamond particles that are adhered onto a base material by nickel plating, soldering, sintering, or the like.

An abrasive material having a structured surface is also used in surface polishing large glass plates used in liquid crystal display manufacturing and the like, in rough polishing and final polishing of optical fiber connector end surfaces, automotive painted surfaces, and the like. For example, an abrasive material is used where the abrasive layer includes abrasive particles such as agglomerate diamond particles, alumina, silicon carbide, cerium oxide, and the like, and binders such as cured urethane acrylate, epoxy resin, and the like. The portion of the abrasive layer that contacts objects to be polished is worn during rough polishing or final polishing depending on the hardness of the object to be polished, and new abrasive particles are exposed on the structured surface. If an object to be polished with a hardness of a glass plate or the like is polished for example, the abrasive layer is usually worn during polishing. On the other hand, if a surface with low hardness such as an automotive painted surface using an acrylic resin, urethane resin, or the like in the outermost layer is polished, the abrasive layer may not be significantly worn.

Patent Document 1 (International Publication WO 2005-012592) describes: (a) base material having a surface including (1) a first phase that contains at least one type of ceramic material, and (2) a second phase including at least one type of carbide forming material; and (b) a CVD diamond coating composite material including a chemical vapor deposition diamond coating disposed on at least a part of the surface of the base material.

Patent Document 2 (Japanese Translation of Published PCT Application No. 2002-542057) describes "an abrasive article that is ideal for polishing glass or glass ceramic work pieces, including a backing material and at least one three- dimensional abrasive coating bonded on the surface of the backing material, wherein the abrasive coating includes a binder formed from a cured binder precursor dispersing a plurality of diamond bead abrasive particles and a filler configuring approximately 40 to approximately 60 wt% of the abrasive coating."

Patent Document 3 (Japanese Unexamined Patent Application

Publication No. 2001 - 179640) describes "an abrasive material used for polishing an optical fiber connector end surface into a predetermined shape, the abrasive material including: a base material and an abrasive layer provided on the base material, wherein the abrasive layer has an abrasive composite including abrasive particles and a binding agent as components, and wherein the abrasive layer has a spatial structure configured by a plurality of systematically disposed solid elements of a predetermined shape."

Reference Documents

Patent Document 1 : International Publication WO 2005/012592

Patent Document 2: Japanese Translation of Published PCT Application

No. 2002-542057

Patent Document 3 : Japanese Unexamined Patent Application

Publication No. 2001 - 179640

Outline of the Invention

The cause is not clear, but when urethane foam pad conditioning is performed during the CMP process using abrasive material including an abrasive layer having a structured surface, the defect density of the semiconductor wafer surface might increase in conjunction with an increase in conditioning cycles. Furthermore, accumulation of foreign objects such as abrasive particles included in the CMP slurry, polyurethane particles scraped from the urethane foam pad, and the like may be observed in the valley parts (concave parts) of the structured surface of the abrasive layer. Accumulation of the foreign objects is thought to interfere with the smooth flow of the CMP slurry between the abrasive material and the urethane foam pad.

Accumulation in the valley part of the structured surface glass powder (polishing powder) scraped by surface polishing of a glass plate, and adhesion to a structured surface such as acrylic resin, urethane resin, or the like when rough polishing and final polishing an automotive painted surface (in this case, an abrasive layer is not significantly worn, and adhesion occurs at a protruding part or tip of the structured surface.) is preferably prevented or suppressed because production efficiency may be reduced, thus effecting product quality.

An object of the present disclosure is to provide abrasive material having a structured surface that is excellent in preventing adhesion and accumulation of foreign objects, and a manufacturing method thereof.

SUMMARY OF THE INVENTION

An embodiment of the present disclosure provides an abrasive material having an abrasive layer having a structured surface with a plurality of three- dimensional elements arranged thereon, a surface treatment selected from the group consisting of fluoride treatment and silicon treatment being performed on at least a portion of the structured surface, and the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

Another embodiment of the present disclosure provides a method of manufacturing an abrasive material including: providing an abrasive material including an abrasive layer having a structured surface with a plurality of three- dimensional elements arranged thereon; and performing a surface treatment selected from the group consisting of fluoride treatment and silicon treatment on at least a portion of the structured surface of the abrasive material; the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

Yet another embodiment of the present disclosure provides an abrasive material having an abrasive layer with a structured surface configured with a plurality of three-dimensional elements arranged thereon, at least a portion of the structured surface including: (a) a film including a material selected from the group consisting of densified fluorocarbon, silicon oxycarbide, and silicon oxide; (b) a fluorine terminated surface, or (c) a combination thereof. Effect of the Invention

In accordance with the present disclosure, an abrasive material can be provided that can discharge without adhering or accumulating foreign objects in the structured surface, particularly valley parts (concave parts) of the structured surface.

Note that the description above should not be considered as a complete disclosure of all embodiments of the present invention or of the advantages related to the present invention.

BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a cross-sectional view of an abrasive material of an

embodiment of the present disclosure.

FIG. 2 is a cross-sectional view of an abrasive material of another embodiment of the present disclosure.

FIG. 3A is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a triangular pyramid shape are disposed.

FIG. 3B is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a quadrangular pyramid shape are disposed.

FIG. 3C is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a truncated pyramid shape are disposed.

FIG. 3D is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a hemisphere shape are disposed.

FIG. 3E is a cross-sectional view of a structured surface where the three- dimensional elements are laterally oriented and aligned triangular prisms.

FIG. 3F is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a hipped roof shape are disposed.

FIG. 3G is an upper surface schematic view of a structured surface where a combination of a plurality of three-dimensional elements of various shapes is disposed.

FIG. 4A-4D are optical micrographs of a structured surface of abrasive materials of examples 1 and 2 and comparative examples 1 and 2, respectively, after performing a CMP dressing test.

FIG. 5A is an overall photograph of abrasive materials A through C of examples 3 through 5 and comparative example 3 after performing an automotive coating polishing test.

FIG. 5B is an optical micrograph of a structured surface of abrasive materials A through C of examples 3 through 5 and comparative example 3 after performing an automotive coating polishing test.

FIG. 5 C is an optical micrograph of a structured surface of abrasive materials A through C of examples 3 through 5 and comparative examples 3 after performing an automotive coating polishing test and then cleaning with water.

DETAILED DESCRIPTION

A detailed explanation for the purpose of illustrating representative embodiments of the present invention is given below, but these embodiments should not be construed to limit the present invention.

"Abrasive surface" in the present disclosure refers to a contact surface with an object to be polished, in other words, a level surface that is parallel to the surface of the object to be polished, when the abrasive material contacts a flat object to be polished.

The "height" of the three-dimensional element in the present disclosure refers to the distance from the bottom surface of the three-dimensional element to the top point or top surface of the three-dimensional element along a perpendicular line of the abrasive surface.

An abrasive material of an embodiment of the present disclosure includes an abrasive layer having a structured surface, and a plurality of three- dimensional elements are disposed on the structured surface. A surface treatment selected from a group consisting of fluoride treatment or silicon treatment is performed on at least a part of the structured surface. "Fluoride treatment" in the present disclosure refers to surface treatment using a material containing fluorine, and "silicon treatment" refers to surface treatment using a material containing silicon. Other atoms besides fluorine and silicon such as hydrogen, oxygen, carbon, nitrogen, and the like can contribute in the surface treatment, and these other atoms may be derived from material containing fluorine or material containing silicon, or may be derived from another source.

The abrasive layer can be formed using various materials. FIG. 1 illustrates a cross-sectional view of an abrasive material of an embodiment of the present disclosure. The abrasive material 10 illustrated in FIG. 1 includes an abrasive layer 1 1 , and the abrasive layer 1 1 includes a bulk layer 13 and a surface coating layer 14 disposed on at least a part of the bulk layer 13. The surface coating layer 14 is applied to a structured surface where a plurality of three-dimensional elements 12 are disposed. With the embodiment illustrated in FIG. 1 , the bulk layer 13 not only determines the shape of a three-dimensional element 12, but also functions as a base material for attaching the abrasive material 10 to another tool or the like. Another base material may be attached to the surface of the bulk layer 13 on the side opposite from the structured surface. The bulk layer determines the shape of the three-dimensional element. The bulk layer can be formed by various hard materials such as an inorganic material such as sintered ceramic for example, considering the material

properties and hardness of the object to be polished and the like. The sintered ceramic can include silicon carbide, silicon nitride, alumina, zirconia, tungsten carbide, and the like for example. Of these, silicon carbide and silicon nitride, and particularly silicon carbide can be advantageously used from the perspective of strength, hardness, wear resistance, and the like.

The bulk layer can be formed by mixing ceramic particles such as silicon carbide or the like, a binder, and other materials as needed, pressure injecting into a metal die having a negative pattern of the structured surface, and then sintering.

The surface coating layer is generally formed by a material that is harder than the bulk layer, and contributes to polishing the object to be polished by contacting the object to be polished during polishing. Examples of the surface coating layer that can be used include diamond-like carbon (abbreviated as DLC), and other diamond materials, tungsten carbide (WC), titanium nitride (TiN), titanium carbide (TiC), and the like. The thickness of the surface coating layer is generally approximately 0.5 μιη or more or approximately 1 μιη or more, and approximately 30 μιη or less or approximately 20 μιη or less. By setting the thickness of the surface coating layer to approximately 1 μιη or more, only the surface coating layer contacts the object to be polished during polishing, and thus the object to be polished can be protected from contact with the bulk layer. On the other hand, if adhesion of the surface coating layer and the bulk layer is low, the thickness of the surface coating layer is preferably made relatively thin.

Film containing diamond materials can be advantageously used as the surface coating layer. The film can include diamond-like carbon for example. Diamond-like carbon is amorphous, and includes a large amount of sp 3 stabilized by hydrogen (for example, carbon atoms are approximately 40 atomic % or more or approximately 50 atomic % or more, and approximately 99 atomic % or less or approximately 98 atomic % or less). The diamond film can be deposited on the bulk layer by conventional technology such as a plasma enhanced chemical vapor deposition (PECVD) method, a hot wire chemical vapor deposition (HWCVD) method, ion beam, laser ablation, RF plasma, ultrasound, arc discharge, cathodic arc plasma deposition, and the like, using a gas carbon source such as methane or the like or a solid carbon source such as graphite or the like, and hydrogen as needed. In some embodiments, a film with high crystallinity can be stabilized and produced, and therefore, the HWCVD method can be advantageously used for depositing a thick diamond film.

FIG. 2 illustrates a cross-sectional view of an abrasive material of another embodiment of the present disclosure. The abrasive material 10 illustrated in FIG. 2 includes an abrasive layer 1 1 including abrasive particles 16 and a binder 17 on a backing material 15, and the abrasive layer 1 1 has a structured surface where a plurality of three-dimensional elements 12 are disposed. The backing material 15 acts as a base material of the abrasive material 10. The abrasive particles 16 are uniformly or non-uniformly distributed throughout the binder 17. With this embodiment, when the surface of the object to be polished is polished using the abrasive material 10, a portion contacting the object to be polished is gradually destroyed, thereby exposing unused abrasive particles 16, depending on the hardness of the object to be polished.

With this embodiment, a curable composition including abrasive particles, a binder precursor, and an initiator are filled into a metal die having a negative pattern of the structured surface, the composition is cured using heat or radiation, and therefore, an abrasive layer including abrasive particles and a binder can be formed.

Examples of the abrasive particles that can be used include diamond, cubic boron nitride, cerium oxide, fused aluminum oxide, heat treated aluminum oxide, aluminum oxide prepared by a sol-gel process, silicon carbide, chromium oxide, silica, zirconia, alumina zirconia, iron oxide, garnet, and mixtures thereof. The Mohs' hardness of the abrasive particles is preferably 8 or higher or 9 or higher. The type of abrasive particle can be selected based on the intended polishing, and diamond, cubic boron nitride, aluminum oxide, and silicon carbide can be advantageously used for rough polishing such as deburring or the like, and for chamfering such as curved surface forming or the like, and silica and aluminum oxide can be advantageously used for final polishing.

The mean particle size of the abrasive particles may be within different ranges based on the type of abrasive particle, application of the abrasive material, and the like, and is generally approximately 10 nm or more, approximately 1 μιη or more, or approximately 5 μιη or more, and approximately 500 μιη or less, approximately 200 μιη or less, or approximately 80 μιη or less. For example, abrasive particles with a mean particle size of approximately 0.5 μιη or more and approximately 20 μιη or less, or approximately 10 μιη or less can be

advantageously used for rough polishing such as deburring or the like, and for chamfering such as curved shape forming or the like, and abrasive particles with a mean particle size of approximately 10 nm or more and approximately 1 μιη or less, approximately 0.5 μιη or less, or approximately 0.1 μιη or less can be advantageously used for final polishing.

Agglomerate diamond that disperses diamond particles with a particle size of approximately 1 μιη to approximately 100 μιη in a matrix such as glass, ceramics, metals, metal oxides, organic resins, and the like can be used. The mean particle size of the agglomerate diamond including diamond particles that have a particle size that is larger than 15 μιη is generally approximately 100 μιη or more or approximately 250 μιη or more, and approximately 1000 μιη or less or approximately 400 μιη or less. The mean particle size of the agglomerate diamond including diamond particles that have a particle size of 15 μιη or less is generally approximately 20 μιη or more, approximately 40 μιη or more, or approximately 70 μιη or more, and approximately 450 μιη or less, approximately 400 μιη or less, or approximately 300 μιη or less.

Curable resin cured by heat or radiation can be used as the binder precursor. The curable resin is generally cured by radical polymerization or cationic polymerization. Examples of the binder precursor include phenolic resin, resol-phenol resin, aminoplast resin, urethane resin, epoxy resin, acrylic resin, polyester resin, vinyl resin, melamine resin, isocyanurate acrylate resin, urea-formaldehyde resin, isocyanurate resin, urethane acrylate resin, epoxy acrylate resin, and mixtures thereof. The term "acrylate" used for the binder precursor includes acrylates and methacrylates.

A conventional thermal initiator or photoinitiator can be used as the initiator. Examples of the initiator include organic peroxide, azo commpounds, quinone, benzophenone, nitroxo compounds, halogenated acrylic, hydrazone, mercapto compounds, pyrylium compounds, triacrylimidazole, bisimidazole, chloroalkyl triazine, benzoin ether, benzyl ketal, thioxanthone, acetophenone, iodonium salt, sulfonium salt, and derivatives thereof.

The abrasive particles are generally included in the curable composition in an amount of approximately 150 mass parts or more or approximately 200 mass parts or more, and approximately 1000 mass parts or less or approximately 700 mass parts or less, with regards to 100 mass parts of the binder precursor. The initiator is generally included in the curable composition in an amount of approximately 0.1 mass parts or more or approximately 0.5 mass parts or more, and approximately 10 mass parts or less or approximately 2 mass parts or less, with regards to 100 mass parts of the binder precursor.

The curable composition can further include an optional component such as a coupling agent, filler, wetting agent, dye, pigment, plasticizer, filler, release agent, polishing aid, and the like.

The backing material can be a polymer film such as polyester, polyimide, polyamide, and the like; paper; vulcanized fiber; molded or cast elastomers, processed nonwoven fabric or woven fabric; and the like. The backing material can be adhered to the abrasive layer using an adhesive layer.

The abrasive layer and the backing material can be integrally formed using thermoplastic resin or thermosetting resin. Examples of the thermoplastic resin or thermosetting resin include phenolic resin, aminoplast resin, urethane resin, epoxy resin, ethylenically unsaturated resin, isocyanurate acrylate resin, urea-formaldehyde resin, isocyanurate resin, urethane acrylate resin, epoxy acrylate resin, bimaleimide resin, and mixtures thereof. Of these, polyamide resin, polyester resin, and polyurethane resin (including polyurethane-urea resin) can be advantageously used.

The thickness of the backing material can be generally set to

approximately 1 mm or more or approximately 0.5 cm or more, and

approximately 2 cm or less or approximately 1 cm or less. Shape tracking properties may also be applied to the backing material with the backing material as an elastic material. A predetermined curvature may be applied to the backing material by pre-forming the backing material.

The polishing function of the three-dimensional elements of the abrasive material is demonstrated at the top thereof. With the abrasive material having an abrasive layer including abrasive particles and a binder, the three-dimensional element is degraded from the top part during polishing, and unused abrasive particles are exposed. Therefore, by increasing the concentration of the abrasive particles existing in the top part of the three-dimensional element, the cutting properties and abrasion properties of the abrasive material can be increased, and thus the abrasive material can be advantageously used. The base part of the three-dimensional element, in other words, the lower part of the abrasive layer adhered to the base material or integrally formed with the base material normally does not require a polishing function, and therefore, can be formed only by binders without including abrasive particles. The structured surface of the abrasive layer can include a three- dimensional element of various shapes. Examples of the three-dimensional element shape include a cylinder, an elliptic cylinder, a prism, a hemisphere, a semi-elliptical sphere, a cone, a pyramid, a truncated cone, a truncated pyramid, a hipped roof, and the like. The structured surface may also include a

combination of a plurality of three-dimensional elements with a variety of shapes. For example, the structured surface may be a combination of a plurality of cylinders and a plurality of pyramids. A cross-sectional shape of the base part of the three-dimensional element may be different from the cross-sectional shape of the top part. For example, the cross section of the base part may be a square shape whereas the cross section of the top part may be a circular shape. The three-dimensional element normally has a base part with a larger cross-sectional area than the cross-sectional area of the top part. The base part of the three- dimensional element may mutually or alternately contact, and the base part of adjacent three-dimensional elements can be separated from each other at a predetermined distance.

With several embodiments, a plurality of three-dimensional elements is systematically disposed on the structured surface. With the present disclosure, "systematically" used in relation to the position of the three-dimensional element means that three-dimensional elements with the same shape or similar shape are disposed repeatedly on the structured surface, along one or a plurality of directions on a level surface that is parallel to the abrasive surface. The one or a plurality of directions on a level surface that is parallel to the abrasive surface can be a linear direction, a concentric direction, helix (spiral) direction, or a combination thereof. With an embodiment where a plurality of three- dimensional elements are systematically disposed on the structured surface, the space existing between the three-dimensional elements, such as a groove for example, can be disposed on the entire body of the structured surface in a pattern that is advantageous for flowing and discharging of a slurry, abrasive powder, and the like. The plurality of three-dimensional elements can be formed by a polycrystalline diamond depositing method by surface treating, laser treating, or CVD by a diamond wheel, cutting wheel, or injection molding, a method of filling a binder precursor in a metal three-dimensional element having a negative pattern of the structured surface, and then curing using heat or radiation, and the like, for example.

A structured surface that can be used in the abrasive material of the present disclosure is described using examples, while referring to FIG. 3A through 3G. FIG. 3A is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a triangular pyramid shape are disposed. In FIG. 3A, symbol o represents the length of the base of the three-dimensional element 12, and symbol p represents the distance between the top parts of the three-dimensional elements 12. The length of the bases of the triangular pyramid may be the same or different from each other, and the length of the sides may be the same or different from each other. For example, o can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less, p can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less. Although not illustrated in FIG. 3 A, the height h of the three-dimensional elements 12 can be set to approximately 2 μιη or more or approximately 4 μιη or more, and approximately 600 μιη or less or approximately 300 μιη or less. The variation of h is preferably approximately 20% or less than that of the height of the three- dimensional elements 12, and more preferably approximately 10% or less.

FIG. 3B is an upper surface schematic diagram of a structured surface where a plurality of three-dimensional elements having a quadrangular pyramid shape are disposed. In FIG. 3B, symbol o represents the length of the base of the three-dimensional elements 12, and symbol p represents the distance between the top parts of the three-dimensional elements 12. The length of the bases of the quadrangular pyramid may be the same or different from each other, and the length of the sides may be the same or different from each other. For example, o can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less, p can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less. Although not illustrated in FIG. 3B, the height h of the three-dimensional elements 12 can be set to

approximately 2 μιη or more or approximately 4 μιη or more, and approximately 600 μιη or less or approximately 300 μιη or less. The variation of h is

preferably approximately 20% or less than that of the height of the three- dimensional elements 12, and more preferably approximately 10% or less.

With other embodiments of the present disclosure, the three-dimensional elements can be truncated triangular pyramids or truncated quadrangular pyramids. The top surface of the three-dimensional elements of these

embodiments is generally configured of a triangular or quadrangular level surface that is parallel to the abrasive surface. Substantially all of the top surfaces preferably exist on the level surface that is parallel to the abrasive layer.

FIG. 3C is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a truncated quadrangular pyramid are disposed. A quadrangular pyramid shape before cutting the top portion is illustrated on the top left. In FIG. 3C, symbol o represents the length of the base of the three-dimensional elements 12, symbol u represents the distance between the bases of the three-dimensional elements 12, and symbol y represents the length of the sides of the top surface. The length of the bases of the truncated quadrangular pyramid may be the same or different from each other, the length of the sides can be the same or different from each other, and the length of the sides of the top surface may be the same or different from each other. For example, o can be set to approximately 5 μιη or more or

approximately 10 μιη or more, and approximately 6000 μιη or less or approximately 3000 μιη or less, u can be set to 0 μιη or more or approximately 2 μιη or more, and approximately 10,000 μιη or less or approximately 5000 μιη or less, y can be set to approximately 0.5 μιη or more or approximately 1 μιη or more, and approximately 6000 μιη or less or approximately 3000 μιη or less. Although not illustrated in FIG. 3C, the height h of the three-dimensional elements 12 can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 10,000 μιη or less or approximately 5000 μιη or less. The variation of h is preferably approximately 20% or less than that of the height of the three-dimensional elements 12, and more preferably approximately 10% or less.

FIG. 3D is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a hemisphere shape are disposed. In FIG. 3D, symbol r represents the radius of the three-dimensional elements 12, and symbol p represents the distance between the middle of the three-dimensional elements 12. For example, r can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less, p can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less. Although not illustrated in FIG. 3D, the height h of the three-dimensional elements having a hemisphere shape is normally the same as the radius r. The variation of h is preferably approximately 20% or less than that of the height of the three-dimensional elements 12, and more preferably approximately 10% or less.

FIG. 3E is a cross-sectional schematic view of another embodiment of the present disclosure, and the plurality of three-dimensional elements 12 are laterally oriented triangular prisms, and have a ridge. The three-dimensional elements 12 are disposed on a base material 15, and are illustrated as a two-layer structure of an abrasive layer upper part 18 including abrasive particles and a binder, and an abrasive layer lower part 19 including a binder but not including abrasive particles. The ridge is preferably on a level surface that is parallel to the abrasive layer substantially across the entire body of the abrasive material. In some embodiments, substantially all ridges exist on the same level surface that is parallel to the abrasive layer. In FIG. 3E, symbol a represents the vertical angle of the three-dimensional elements 12; symbol w represents the width of the bottom part of the three-dimensional elements 12; symbol p represents the distance between the top parts of the three-dimensional elements 12; symbol u represents the distance between the long bases of the three-dimensional elements 12; symbol h represents the height of the three-dimensional elements 12 from the surface of the base material 15; and symbol s represents the height of the abrasive layer upper part 18. For example, a can be set to approximately 30 degrees or more or approximately 45 degrees or more, and approximately 150 degrees or less or approximately 140 degrees or less, w can be set to

approximately 2 μιη or more or approximately 4 μιη or more, and approximately 2000 μιη or less or approximately 1000 μιη or less, p can be set to

approximately 2 μιη or more or approximately 4 μιη or more, and approximately 4000 μιη or less or approximately 2000 μιη or less, u can be set to 0 μιη or more or approximately 2 μιη or more, and approximately 2000 μιη or less or approximately 1000 μιη or less, h can be set to approximately 2 μιη or more or approximately 4 μιη or more, and approximately 600 μιη or less or approximately 300 μιη or less, s can be set to approximately 5% or more or approximately 10% or more than the height h of the three-dimensional elements 12, and approximately 95% or less or approximately 90% or less. The variation of h is preferably approximately 20% or less than that of the height of the three- dimensional elements 12, and more preferably approximately 10% or less.

The individual three-dimensional elements 12 illustrated in FIG. 3E may extend across the entire surface of the abrasive material. In this case, both end parts in the long base direction of the three-dimensional elements 12 are in the vicinity of the end parts of the abrasive material, and the plurality of three- dimensional elements 12 are disposed in a band shape.

With another embodiment of the present disclosure, the three- dimensional elements have a hipped roof shape. A "hipped roof shape in the present disclosure indicates a three-dimensional shape with a side surface configured in two corresponding triangular shapes and two corresponding quadrangular shapes, wherein the adjacent triangular side surface and

quadrangular side surface share an area, and the area shared by the corresponding two quadrangular side surfaces is a ridge. The ridge is preferably on a level surface that is parallel to the abrasive layer substantially across the entire body of the abrasive material. In some embodiments, substantially all ridges exist on the same level surface that is parallel to the abrasive layer. The two triangular side surfaces and the two quadrangular side surfaces may have the same shape or different shape from each other. Therefore, the bottom surface of the hipped roof shape may be rectangular, trapezoidal, or the like, and the length of the four sides may be a different square shape from each other.

FIG. 3F is an upper surface schematic view of a structured surface where a plurality of three-dimensional elements having a hipped roof shape are disposed. FIG. 3F illustrates a hipped roof shape having a rectangular bottom surface. In FIG. 3F, symbol 1 represents the length of the long base of the three-dimensional elements 12, and symbol x represents the distance between short bases of adjacent three-dimensional elements 12. For example, 1 can be set to approximately 5 μιη or more or approximately 10 μιη or more, and approximately 10 mm or less or approximately 5 mm or less, x can be set to 0 μιη or more or approximately 2 μιη or more, and approximately 2000 μιη or less or approximately 1000 μιη or less. The definitions and exemplary numerical ranges of symbols w, p and u, and although not illustrated in FIG. 3F, symbols h, s, a, and the like are the same as those described in FIG. 3E.

With another embodiment, the structured surface includes a combinations of a plurality of three-dimensional elements with various shapes. FIG. 3G illustrates an example of such an embodiment. The structured surface illustrated in FIG. 3G includes a combination of a first triangular pyramid 121 , a second triangular pyramid 122, a hexagonal pyramid 123, and a hipped roof 124. The length of the base of each of the three-dimensional elements can be set to approximately 5 μιη or more or 10 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less, and the height can each be set to

approximately 2 μιη or more or approximately 4 μιη or more, and approximately 600 μιη or less or approximately 300 μιη or less. The distance between the bases of adjacent three-dimensional elements can be set to 0 μιη or more or approximately 2 μιη or more, and approximately 10,000 μιη or less or

approximately 5000 μιη or less. The length of the ridge of the hipped roof 124 can be set to approximately 0.5 μιη or more or approximately 1 μιη or more, and approximately 1000 μιη or less or approximately 500 μιη or less.

With several embodiments, the density of the three-dimensional elements of the abrasive material, in other words, the number of three-dimensional elements per 1 cm 2 of abrasive material is approximately 0.5 elements / cm 2 or more or 1.0 elements / cm 2 or more, and approximately 1 x 10 7 elements / cm 2 or less or approximately 4 x 10 6 elements / cm 2 or less. With the embodiments where a plurality of three-dimensional elements are systematically disposed on the structured surface, the number of three-dimensional elements per 1 cm 2 of abrasive material can be set to approximately 0.05 elements / cm 2 or more or approximately 0.10 elements / cm 2 or more, and approximately 1 x 10 6 elements / cm 2 or less or approximately 4 x 10 5 elements / cm 2 or less. With this embodiment, while a high polishing efficiency is achieved by arranging the three-dimensional elements on the structured surface at high density, slurry, abrasive powder, and the like can be efficiently discharged by using a space with a predetermined pattern existing between the three-dimensional elements, such as a groove for example, and performing surface treatment on the structured surface in combination. For the abrasive material of the present disclosure, fluoride treatment or silicon treatment is performed on at least a part of the structured surface.

Without being bound to any theory, abrasive material where the structured surface is covered by a surface coating layer such as diamond-like carbon or the like, and abrasive material where the abrasive layer includes abrasive particles and resin binders are thought to cause charge-up on the structured surface or surface energy of the structured surface, and therefore, foreign objects are prone to cling to the structured surface electrostatically or by another interaction, as compared to conventional abrasive material having abrasive particles adhered on the base material by conductive Ni plating or the like. According to the present disclosure, even if the structured surface contains three-dimensional elements at a relatively high density, the surface energy of the structured surface can be reduced by the surface treating of these three-dimensional elements, and adhesion of foreign objects onto the structured surface such as adhesion or accumulation of abrasive particles in the abrasive slurry, organic compounds and the like, polyurethane particles generated from a polyurethane foam pad, and the like can be prevented or suppressed.

In the present disclosure, fluoride treatment can be advantageously performed by plasma treatment, a chemical vapor deposition (CVD) method, a physical vapor deposition (PVD) method, or fluorine gas treatment.

"Plasma treatment" according to the present disclosure refers to a treatment of changing the chemical composition of the surface of the object to be treated using raw material gas activated by plasma, and the reaction product including material derived from the object to be treated is included on the plasma treated surface. On the other hand, with chemical vapor deposition and physical vapor deposition, a film including components derived from gas, liquid, or solid raw materials is formed by depositing on the surface of the object to be treated. The chemical vapor deposition method includes a thermal CVD method, a direct plasma enhanced CVD method, a remote plasma CVD method, a hot wire CVD method, and the like, for example. The physical vapor deposition method includes sputtering, vacuum deposition, arc spraying, plasma spraying, aerosol deposition methods, and the like.

Without being bound to any theory, the fluoride treatments are thought to produce phenomena such as the fluorine being doped around the surface of the surface coating layer such as diamond-like carbon or abrasive particles, the surface of the materials being fluorine terminated due to the creation of a C-F bond in a polymer included in the binder, a coating including densified fluorocarbon that contains many C-C bonds being formed on the structured surface, and the like.

With several embodiments, fluoride treatment by plasma treatment or a chemical vapor deposition method can be performed using a low pressure plasma device with a pressure reducible chamber or an atmospheric pressure plasma device. The chemical vapor deposition method using a plasma device is generally referred to as a plasma enhanced CVD method. If using an

atmospheric pressure plasma device, nitrogen gas and / or group 18 atoms of the period table, specifically, helium, neon, argon, krypton, xenon, radon, and the like are used as the electric discharge gas, in addition to fluorine-containing gases. Of these, nitrogen, helium, and argon can be advantageously used, and nitrogen is particularly advantageous from the perspective of cost. The low pressure plasma device is generally used for batch treating. If continuous treatment of long webbing or the like is required, using an atmospheric pressure plasma device may be advantageous from the perspective of productivity. A conventional method such as corona discharge, dielectric barrier discharge such as single or dual RF discharge that uses a 13.56 MHz high frequency power source, 2.45 GHz microwave discharge, arc discharge, or the like can be used as a method for generating plasma. Of these generating methods, the single RF discharge using a 13.56 MHz high frequency power source can be

advantageously used. Fluorocarbons such as CF 4 , C 4 Fs, C5F6, C 4 F 6 , CHF3, CH2F2, CH3F, C2F6, C3F8, C 4 Fio, C 6 Fi 4 , nitrogen trifluoride (NF 3 ), SF 6 , and the like can be used as the fluorine-containing gas used in plasma treatment or a chemical vapor deposition method. From the perspective of safety, reactivity, and the like, C3F8, C 6 Fi 4 , and CF 4 can be advantageously used. The flow rate of the fluorine-containing gas can be set to approximately 20 seem or more or

approximately 50 seem or more, and approximately 1000 seem or less or approximately 500 seem or less. A carrier gas with a flow rate of

approximately 50 seem or more and approximately 5000 seem or less such as nitrogen, helium, or argon may be further included in the gas flow supplied to the device.

In some embodiments, the possibility of depositing a favorable film by setting the raw material gas C / F ratio to approximately 3 or less is known, and in this case, the C / F ratio can be adjusted by adding a nonfluorine-based gas such as acetylene, acetone, and the like. With an embodiment where the C / F ratio of raw material gas is approximately 2 or more and approximately 3 or less, surface modification due to plasma treatment may preferentially occur, or film deposition due to the chemical vapor deposition method may preferentially occur, depending on the bias voltage. By adjusting the bias voltage in such an embodiment, the fluoride treatment can be plasma treatment or chemical vapor deposition, or a combination thereof. The range of the bias voltage varies based on the size or design of the device or the like, but can generally be set to approximately 100 V or less, approximately 0 V or less to approximately - 1000 V or more, or approximately - 100,000 V or more.

The applied power required for plasma generation can be determined based on the dimensions of the abrasive material to be treated, and the power density in the discharge space can be generally selected to be approximately 0.00003 W / cm 2 or more or approximately 0.0002 W / cm 2 or more, and approximately 10 W / cm 2 or less or approximately 1 W / cm 2 or less. For example, if the dimensions of the abrasive material to be fluoride treated are 10 cm (length) χ 10 cm (width) or less, the applied power can be set to

approximately 200 W or more or approximately 500 W or more, and

approximately 4 kW or less or approximately 2.5 kW or less.

The temperature of plasma treatment or the chemical vapor deposition method is preferably a temperature that does not compromise the characteristics and performance of the abrasive material to be treated and the like, and the surface temperature of the abrasive material to be treated can be set to

approximately -15°C or more, approximately 0°C or more, or approximately 15°C or more, and approximately 400°C or less, approximately 200°C or less, or approximately 100°C or less. The surface temperature of the abrasive material can be measured by a thermocouple, a radiation thermometer, or the like that contacts the abrasive material.

The treatment pressure when performing plasma treatment or the chemical vapor deposition method using a low pressure plasma device can be set to approximately 10 mTorr or more or approximately 20 mTorr or more, and approximately 1500 mTorr or less or approximately 1000 mTorr or less.

The treatment time for plasma treatment or the chemical vapor deposition method can be set to approximately 2 seconds or more, approximately 5 seconds or more, or approximately 10 seconds or more, and approximately 300 seconds or less, approximately 180 seconds or less, or approximately 120 seconds or less.

With another embodiment, a remote plasma device can be used as the fluoride treatment by plasma treatment or the chemical vapor deposition method. The chemical vapor deposition method using the remote plasma device is generally referred to as a remote plasma CVD method. With the remote plasma device, plasma is generated in a plasma excitation chamber which is different from the treating chamber, excitation activated species are generated by introducing a raw material gas in the plasma excitation chamber, the generated excitation activated species is flowed into the treating chamber together with a carrier gas such as nitrogen, helium, neon, argon, or the like, and therefore, fluoride treatment of the structured surface of the abrasive material is performed.

A low pressure remote plasma device with a reduced pressure treating chamber, or an atmospheric pressure remote plasma device can be used as the remote plasma device. Electrical discharge gases that can be used and favorable electrical discharge gases are as described above for the low pressure plasma device and atmospheric pressure plasma device. High frequency (13.56 MHz) RF discharge, 2.45 GHz microwave discharge, 2.45 GHz microwave discharge / electron cyclotron resonance (ECR), and the like are generally used as the plasma generating method, and 2.45 GHz microwave discharge and 2.45 GHz microwave discharge / electron cyclotron resonance (ECR) are

advantageously used because a high plasma density desirable in remote plasma can be achieved.

Fluorocarbons such as CF 4 , C 4 Fs, C5F6, C 4 F 6 , CHF3, CH2F2, CH3F, C2F6, C3F8, C 4 Fio, C 6 Fi 4 , and the like, nitrogen trifluoride (NF 3 ), SF 6 , and the like can be used as the fluorine-containing gas used in plasma treatment or the chemical vapor deposition method using the remote plasma device. The life of the excitation activated species is longer, and safety is high, and therefore, NF3, and SF 6 can be advantageously used. The flow rate of the fluorine-containing gas can be set to approximately 20 seem or more or approximately 50 seem or more, and approximately 1000 seem or less or approximately 500 seem or less. The flow rate of the carrier gas can be set to approximately 100 seem or more or approximately 200 seem or more, and approximately 5000 seem or less or approximately 200 seem or less.

In some embodiments, the possibility of depositing a favorable film by setting the raw material gas C / F ratio to approximately 3 or less is known, and in this case, the C / F ratio can be adjusted by adding a nonfluorine-based gas such as acetylene, acetone, and the like. With an embodiment where the C / F ratio of raw material gas is approximately 2 or more and approximately 3 or less, surface modification due to plasma treatment may preferentially occur, or film deposition due to the chemical vapor deposition method may preferentially occur, depending on the bias voltage. By adjusting the bias voltage in such an embodiment, the fluoride treatment can be plasma treatment or chemical vapor deposition, or a combination thereof. The range of the bias voltage varies based on the size or design of the device or the like, but can generally be set to approximately 100 V or less, approximately 0 V or less to approximately -1000 V or more, or approximately - 100,000 V or more.

The applied power required in plasma generation can be set to

approximately 1 W or more or approximately 10 W or more, and approximately 300 kW or less or approximately 30 kW or less for example.

With the remote plasma device, fluoride treatment can be performed while maintaining the abrasive material to be treated at a low temperature. For example, the surface temperature of the abrasive material to be treated can be set to approximately - 15°C or more, approximately 0°C or more, or approximately 15°C or more, and approximately 200°C or less, approximately 100°C or less, or approximately 50°C or less. The surface temperature of the abrasive material can be measured by a thermocouple, a radiation thermometer, or the like that contacts the abrasive material.

The treating pressure when performing plasma treatment or a chemical vapor deposition method using a low pressure remote plasma device can be set to approximately 1 mTorr or more or approximately 10 mTorr or more, and approximately 1500 mTorr or less or approximately 1000 mTorr or less.

The treatment time for plasma treatment or the chemical vapor deposition method can be set to approximately 2 seconds or more, approximately 5 seconds or more, or approximately 10 seconds or more, and approximately 300 seconds or less, approximately 180 seconds or less, or approximately 120 seconds or less.

In another embodiment, sputtering can be used as the fluoride treatment by the physical vapor deposition method. Sputtering can be performed using a typical sputtering device such as an ion sputtering device, a DC magnetron sputtering device, an RF magnetron sputtering device, or the like.

Fluoropolymers such as polytetrafluoroethylene (PTFE), polyvinylidene fluoride (PVDF), and the like can be used as the sputtering target of fluoride treatment. Reactive sputtering may be performed by providing fluorocarbons such as CF 4 , C 4 F 8 , CsFe, C 4 F 6 , CHFs, CH2F2, CH3F, C 2 F 6 , CsFs, C 4 Fio, CeFi 4 , and the like, nitrogen fluoride (NF 3 ), SF 6 , and the like in the treating chamber.

The sputtering temperature can be set to approximately - 193°C or more or approximately 25°C or more, and approximately 600°C or less or

approximately 1300°C or less.

The treating pressure of sputtering can be set to approximately 1 x 10 "5 Torr or more or approximately 1 x 10 "3 Torr or more, and approximately 10 m Torr or less or approximately 100 mTorr or less.

The treating time of sputtering can be set to approximately 1 second or more, approximately 5 seconds or more, or approximately 10 seconds or more, and approximately 30 seconds or less, approximately 60 seconds or less, or approximately 180 seconds or less.

With another embodiment, vacuum deposition can be used as the fluoride treatment by physical vapor deposition. Vacuum deposition can be performed using a typical deposition device such as a resistive heating deposition device, electron beam deposition device, ion plating device, or the like.

Polytetrafluoroethylene (PTFE), polyvinylidene fluoride (PVDF), and other fluoropolymers, calcium fluoride (CaF 2 ) and other fluorine-containing organic compounds, and the like can be used as a deposition source.

The deposition temperature can be set to approximately - 193°C or more or approximately 25°C or more, and approximately 600°C or less or

approximately 1000°C or less.

The treating pressure of deposition can be set to approximately 1 x 10 "6 Torr or more or approximately 1 x 10 "5 Torr or more, and approximately 1 x 10 "3 Torr or less or approximately 1 x 10 "2 Torr or less.

The treating time of deposition can be set to approximately 5 seconds or more, approximately 10 seconds or more, or approximately 30 seconds or more, and approximately 120 seconds or less, approximately 600 seconds or less, or approximately 1200 seconds or less.

With another embodiment, fluorine gas (F 2 ) treatment is used as the fluoride treatment. The fluorine gas may be diluted with inert gases such as nitrogen, helium, argon, carbon dioxide, and the like, and may also be used as is without diluting. The fluorine gas treatment is generally performed at atmospheric pressure.

The temperature when the fluorine gas is contacted with the structured surface of the abrasive material can be set to room temperature or more, approximately 50°C or more, or approximately 100°C or more, and

approximately 250°C or less, approximately 220°C or less, or approximately 200°C or less.

The treating time of the fluorine gas treatment can be set to

approximately 1 minute or more or approximately 1 hour or more, and

approximately 1 week or less or approximately 50 hours or less.

With the present disclosure, silicon treatment can be advantageously performed by plasma treatment, a chemical vapor deposition method, a physical vapor deposition method, or an atomic layer deposition method. Without being bound to any theory, silicon treatment is thought to produce a phenomenon where the structured surface is improved by forming a Si-O-Si bond, Si-C-Si bond, Si-O-C bond, and the like in the polymer that is included in the binder or on the surface of the abrasive particles or the surface coating such as diamondlike carbon or the like; where a coating including silicon oxycarbide or silicon oxide that has a relatively dense network structure formed through a Si-O-Si bond, Si-C-Si bond, Si-O-C bond, or the like is formed on the structured surface; or the like. Silicon treatment by plasma treatment or a chemical vapor deposition method can be performed using that low pressure plasma device, atmospheric pressure plasma device, low pressure remote plasma device, atmospheric pressure remote plasma device, and the like which are the same for the

previously described fluoride treatment. The discharge gas and plasma generation methods are the same as that described for fluoride treatment.

Silane (SiH 4 ), tetramethylsilane (TMS), hexamethyldisiloxane (HMDSO), hexamethyldisilazane (HMDS), tetraethyoxysilane (TEOS), and the like can be used as the fluorine-containing gas used in plasma treatment or the chemical vapor deposition method. Of these, monosilane or tetramethylsilane can be advantageously used because the reactivity is high and the diffusion coefficient is large. If the atmospheric pressure plasma device is used, tetramethylsilane with a low boiling point and that is not flammable is used. The flow rate of the silicon-containing gas can be set to approximately 20 seem or more or

approximately 50 seem or more, and approximately 1000 seem or less or approximately 500 seem or less. A carrier gas with a flow rate of

approximately 50 seem or more and approximately 5000 seem or less such as nitrogen, helium, or argon may be further included in the gas flow supplied to the device.

If an oxygen atom is not included in the silicon-containing gas, oxygen is added to the gas flow supplied to the plasma device. The oxygen may be supplied into the chamber of the plasma device through a separate line from the silicon-containing gas, or can be supplied as a mixed gas with the silicon- containing gas through a showerhead disposed in the chamber. The flow rate of the oxygen can be set to approximately 5 seem or more or approximately 10 seem or more, and approximately 500 seem or less or approximately 300 seem or less. With the flow rate of the silicon-containing gas set to 1 , the flow rate ratio of the oxygen and silicon-containing gas can be set to approximately 0.1 : 1 or more, approximately 0.2: 1 or more, or approximately 0.3 : 1 or more, and approximately 5 : 1 or less, approximately 4: 1 or less, or approximately 3 : 1 or less. After stopping the supply of silicon-containing gas, post-treatment may be performed by supplying only oxygen at a flow rate of approximately 5 seem or more or approximately 10 seem or more, and approximately 500 seem or less or approximately 300 seem or less for example.

The applied power required for plasma generation can be determined based on the dimensions of the abrasive material to be treated, and the power density in the discharge space can be generally selected to be approximately 0.00003 W / cm 2 or more or approximately 0.0002 W / cm 2 or more, and approximately 10 W / cm 2 or less or approximately 1 W / cm 2 or less. For example, if the dimensions of the abrasive material to be silicon treated are 10 cm (length) χ 10 cm (width) or less, the applied power can be set to

approximately 1 W or more or approximately 10 W or more, and approximately 300 kW or less or approximately 30 kW or less.

The temperature of plasma treatment or the chemical vapor deposition method is preferably a temperature that does not compromise the characteristics and performance of the abrasive material to be treated and the like, and the surface temperature of the abrasive material to be treated can be set to

approximately -15°C or more, approximately 0°C or more, or approximately 15°C or more, and approximately 400°C or less, approximately 200°C or less, or approximately 100°C or less. The surface temperature of the abrasive material can be measured by a thermocouple, a radiation thermometer, or the like that contacts the abrasive material.

The treatment pressure when performing plasma treatment or the chemical vapor deposition method using a low pressure plasma device can be set to approximately 10 mTorr or more or approximately 20 mTorr or more, and approximately 1500 mTorr or less or approximately 1000 mTorr or less.

The treatment time for plasma treatment or the chemical vapor deposition method can be set to approximately 2 seconds or more, approximately 5 seconds or more, or approximately 10 seconds or more, and approximately 300 seconds or less, approximately 180 seconds or less, or approximately 120 seconds or less.

In another embodiment, sputtering or vacuum deposition can be used as the silicon treatment by physical vapor deposition. Silicon treatment using the physical vapor deposition method can be performed using standard sputtering equipment such as the same ion sputtering equipment that was described for the fluoride treatment, DC magnetron sputtering equipment, RF magnetron

sputtering equipment, and the like, or standard vapor deposition equipment such as resistance heating vapor deposition equipment, electron beam vapor

deposition equipment, ion plating equipment and the like.

The sputtering target of the silicon treatment can be silicon dioxide (S1O2). Reactive sputtering may be performed by supplying oxygen into the treatment chamber when using silicon (Si) as the sputtering target.

The sputtering temperature can be set to approximately - 193°C or more or approximately 25°C or more, and approximately 600°C or less or

approximately 1300°C or less.

The treating pressure of sputtering can be set to approximately 1 x 10 "5 Torr or more or approximately 1 x 10 "3 Torr or more, and approximately 10 m Torr or less or approximately 100 mTorr or less.

The treating time of sputtering can be set to approximately 1 second or more, approximately 5 seconds or more, or approximately 10 seconds or more, and approximately 30 seconds or less, approximately 60 seconds or less, or approximately 180 seconds or less.

Silicon dioxide (S1O2) can be used as the vapor deposition source of the vacuum vapor deposition. Electron beam vapor deposition can be

advantageously used with silicon dioxide vapor deposition. The silicon treatment may be performed by the vapor deposition using silicon monoxide (SiO) as the vapor deposition source, and then performing annealing oxidation in an oxidizing atmosphere, and vapor depositing silicon monoxide while introducing oxygen plasma into the vapor deposition chamber.

The deposition temperature can be set to approximately - 193°C or more or approximately 25°C or more, and approximately 600°C or less or

approximately 1000°C or less.

The treating pressure of deposition can be set to approximately 1 x 10 "6

Torr or more or approximately 1 x 10 "5 Torr or more, and approximately 1 x 10 "3 Torr or less or approximately 1 x 10 "2 Torr or less.

The treating time of deposition can be set to approximately 5 seconds or more, approximately 10 seconds or more, or approximately 30 seconds or more, and approximately 120 seconds or less, approximately 600 seconds or less, or approximately 1200 seconds or less.

In another embodiment, an atom layer deposition method (ALD) can be used as the silicon treatment. The atom layer deposition method includes alternatingly providing at least two types of precursor gases into a reaction chamber, depositing single layers of these precursor gases on the structured surface each time, and reacting these precursor gases on the structured surface.

Examples of precursor gas A that can be used include tetraethoxysilane, bis (tert-butoxy) (isopropoxy) silanol, bis (isopropoxy) (tert-butoxy) silanol, bis (tert-pentoxy) (isopropoxy) silanol, bis (isopropoxy) (tert-pentoxy) silanol, bis (tert-pentoxy) (tert-butoxy) silanol, bis (tert-butoxy) (tert-pentoxy) silanol, tris (tert-pentoxy) silanol and the like. Examples of precursor gas B include water (H2O), oxygen (O2), ozone (O3), and the like.

The flow rate of the precursor gas A can be set to approximately 0.1 seem or more or approximately 1 seem or more, and approximately 100 seem or less or approximately 1000 seem or less. The time for introducing the precursor gas A to the reaction chamber can be for approximately 0.01 seconds or longer, or approximately 0.1 seconds or longer, and approximately 10 seconds or shorter, or approximately 100 seconds or shorter. The flow rate of the precursor gas B can be set to approximately 0.1 seem or more or approximately 1 seem or more, and approximately 100 seem or less or approximately 1000 seem or less. The time for introducing the precursor gas B to the reaction chamber can be for approximately 0.01 seconds or longer, or approximately 0.1 seconds or longer, and approximately 10 seconds or shorter, or approximately 100 seconds or shorter.

Unreacted precursor gas and/or reaction byproducts may be purged from the reaction chamber by introducing a purge gas into the reaction chamber between introducing the precursor gas A and introducing the precursor gas B. The purge gas is an inert gas that will not react with the precursor gas.

Examples of the purge gas that can be used include nitrogen gas, helium, neon, argon, and mixtures thereof. The flow rate of the purge gas can be for example approximately 10 seem or more, or approximately 50 seem or more, and approximately 500 seem or less or approximately 1000 seem or less, and the introduction time of the purge gas can be approximately 1 second or longer, or approximately 10 seconds or longer and approximately 30 seconds or less, or approximately 60 seconds or less.

A film including the predetermined thickness of silicon oxycarbide or silicon oxide can be formed on the structured surface by varying the number of times of introducing the precursor gases A and B, as well as the flow rate and introduction time of the precursor gases A and B. After introducing the precursor gas A and/or B, the reaction between the precursor gases A and B can be promoted by using heat, plasma, pulse plasma, helicon plasma, high density plasma, inductive coupled plasma, X-rays, electron beam, photons, remote plasma, and the like.

The physical properties of the structured surface that was surface treated in this manner can be evaluated for example by the contact angle, hardness, and the like.

In several embodiments, for example in the embodiment where the structured surface was fluoride treated, the water contact angle of the surface treated structured surface was approximately 70° or higher, or approximately 90° or higher, and approximately 120° or lower or approximately 150° or lower.

The water contact angle can be determined by the droplet method,

expansion/contraction method, the Wilhelmy method, or the like.

In several other embodiments, for example in the embodiment where the structured surface was silicon treated to provide a hydrophilic surface, the water contact angle of the surface treated structured surface was approximately 0° or higher, or approximately 10° or higher, and approximately 30° or lower, or approximately 45° or lower. The water contact angle can be determined by the droplet method, expansion/contraction method, the Wilhelmy method, or the like.

In another embodiment, the hardness of the surface treated structured surface was approximately 40 or higher, or approximately 50 or higher, and approximately 87 or lower, or approximately 97 or lower, when converted to Shore hardness. The hardness of the surface treated structured surface can be determined for example by the nano indentation method. The adhesion of relatively soft foreign objects such as polymer particles of polyurethane or the like to the structured surface can be prevented if the hardness of the surface treated structured surface is approximately 50 or higher, when calculated as Shore hardness.

The composition of the film deposited on the structured surface or the modified state of the structured surface that has been fluoride treated or silicon treated can be qualitatively or quantitatively evaluated using x-ray photoelectron spectroscopy (XPS), or secondary ion mass spectroscopy using time of flight (TOF-SIMS), and the like. The XPS spectrum can be obtained for example using a Kratos Axis Ultra spectrometer that uses a monochromic Al K a photon source at the electron emission polar angle of 90° to the surface. TOF-SIMS can use for example a pulse 25 keV Ga+ primary ion beam that has been rasterized by a 400 x 400 micrometer area with a beam diameter of approximately 1 μιη.

Yet another embodiment of the present disclosure provides an abrasive material including an abrasive layer having a structured surface configured with a plurality of three-dimensional elements arranged thereon, at least a portion of the structured surface including: (a) a film including a material selected from the group consisting of densified fluorocarbon, silicon oxycarbide, and silicon oxide; (b) fluorine terminated surface, or (c) a combination thereof.

In the present disclosure, "densified fluorocarbon" refers to a

fluorocarbon material including a dense three-dimensional network structure formed with C-C bonds as a result of including a relatively large amount of quaternary carbon atoms. The densified fluorocarbon has high hardness, and excellent wear resistance and foreign material adhesion resistance as compared to cross-linked or non-cross-linked standard fluoropolymers.

The densified fluorocarbon may include other atoms such as hydrogen, oxygen, nitrogen, and the like, in addition to carbon and fluorine. In several embodiments, the densified fluorocarbon includes approximately 20 atomic % or more, or approximately 25 atomic % or more, and approximately 65 atomic % or less, or approximately 60 atomic % or less of carbon atoms based on the total amount of elements other than hydrogen. In several other embodiments, the densified fluorocarbon includes approximately 30 atomic % or more, or approximately 35 atomic % or more, and approximately 75 atomic % or less, or approximately 70 atomic % or less of carbon atoms based on the total amount of elements other than hydrogen. Furthermore, in several other embodiments, the densified fluorocarbon includes approximately 25 atomic % or more, or approximately 30 atomic % or more, and approximately 80 atomic % or less, or approximately 70 atomic % or less of quaternary carbon atoms bonded to 4 adjacent carbon atoms, based on the total amount of elements other than hydrogen. The atomic percentage of carbon atoms and fluorine atoms of the densified fluorocarbon can be determined by using XPS for example, and the atomic percentage of quaternary carbon atoms can be determined for example using 13C-NMR or the like.

The silicon oxycarbide is a compound that includes silicon, oxygen, and carbon, but may include three-dimensional elements other atoms such as hydrogen, nitrogen, and the like. Silicon oxycarbide is hard and has excellent wear resistance, foreign material adhesion resistance, and the like, and can be made either hydrophilic or hydrophobic by changing the composition. In several embodiments, the silicon oxycarbide contains approximately 10 atomic % or more, or approximately 15 atomic % or more, and approximately 90 atomic % or less, or approximately 80 atomic % or less of silicon atoms based on the total amount of elements other than hydrogen. In several other embodiments, the silicon oxycarbide contains approximately 5 atomic % or more, or approximately 10 atomic % or more, and approximately 80 atomic % or less, or approximately 70 atomic % or less of oxygen atoms based on the total amount of elements other than hydrogen. Furthermore, in several other embodiments, the silicon oxycarbide contains approximately 1 atomic % or more, or approximately 5 atomic % or more, and approximately 90 atomic % or less, or approximately 80 atomic % or less of carbon atoms, based on the total amount of elements other than hydrogen. The atomic percentage of silicon atoms, oxygen atoms, and carbon atoms in the silicon oxycarbide can be determined by using XPS, TOF- SIOMS, and the like.

The silicon oxide is a compound that includes silicon and oxygen, but may include other atoms such as hydrogen, nitrogen, and the like, excluding carbon. Silicon oxide, particularly silicon oxide having a Si-O-H bond on an end is generally hydrophilic, and may effectively prevent adhesion of

hydrophobic materials to the structured surface. In several embodiments, the silicon oxide contains approximately 30 atomic % or more, or approximately 33 atomic % or more, and approximately 55 atomic % or less, or approximately 50 atomic % or less of silicon atoms based on the total amount of elements other than hydrogen. In several other embodiments, the silicon oxycarbide contains approximately 45 atomic % or more, or approximately 50 atomic % or more, and approximately 70 atomic % or less, or approximately 67 atomic % or less of oxygen atoms based on the total amount of elements other than hydrogen. The atomic percentage of silicon atoms and oxygen atoms in the silicon oxide can be determined by using XPS, TOF-SIOMS, and the like.

The thickness of the film including densified fluorocarbon, silicon oxycarbide, and silicon oxide is generally approximately 0.05 nm or more, or approximately 0.5 nm or more, and approximately 200 μιη or less, or

approximately 150 μιη or less. The film thickness can be determined by using XPS, TOF-SIOMS, and the like.

The fluorine atom density of the fluorine terminated structured surface is generally approximately 1 x 10 13 cm ~2 or more, or approximately 5 x 10 13 cm ~2 or more and approximately 5 x 10 15 cm ~2 or less, or approximately 3 x 10 15 cm ~2 or less. The fluorine atom density of the structured surface can be determined by using XPS, TOF-SIOMS, and the like.

The abrasive material of the present disclosure can be used for various applications such as rough polishing, chamfering, and fine polishing of various surfaces such as semiconductor wafers, magnetic recording media, glass plates, lenses, prisms, automobile paint, optic fiber connector terminal surfaces, and the like, as well as dressings and the like for other polishing tools. The abrasive material of the present disclosure can also be advantageously used for

applications that use an abrasive slurry.

EXAMPLES

In the following examples, specific embodiments of the present disclosure are exemplified, but the present invention is not restricted thereto. All "parts" and "percents" are based on mass unless specified otherwise.

1. CMP dressing test In examples 1 and 2 and comparative examples 1 and 2, five disc shaped abrasive materials with a diameter of 1 1 mm and a thickness of 3 mm were adhered at equal intervals on the circumference at a distance of 43 mm from the center of a stainless steel disk shaped base material with a diameter of 1 10 mm and a thickness of 5 mm, and then used as a CMP dressing. The disc shaped abrasive material had a silicon carbide bulk layer with a structured surface having square cones (pyramids) with a base length of 360 μιη and a height of 160 μιη periodically arranged, and the base part of the square cones were in mutual contact. A diamond layer was coated on the silicon carbide bulk layer.

The structured surface of the abrasive material was fluoride treated

(example 1) or silicon treated (example 2) using a batch type capacity coupled plasma device WB 7000 (Plasma Therm Industrial Products, Inc.). The structured surface of comparative example 1 was formed with a fluoropolymer coating film by applying onto the structured surface a coating solution made by dissolving a fluoropolymer 3M (registered trademark) Novec (registered trademark) EGC 1720 (produced by 3M) with a solvent Novec (registered trademark) 7100 (produced by 3M) such that the solid fraction was 0.1 mass %. Comparative example 2 was untreated (control test). The detailed treatment conditions of examples 1 and 2 are presented in Table 1.

The abrasive materials of examples 1 and 2 as well as comparative examples 1 and 2 were attached to a disk and set in a Buehler (registered trademark) EcoMet (registered trademark) 4000 (produced by Buehler). Water was supplied to the polishing system in place of CMP slurry. A CMP dressing test was performed for 1 hour using a urethane foam pad ICE 1000 pad (product of Dow) with a down force of 5 kgf (1 kgf per abrasive material) and a rotational speed of 150 RPM (disk)/10 rpm (urethane pad), and then the disc was immersed for 5 minutes in a water bath to simulate a standard compounding treatment, the structured surface of the abrasive material was faced downward and naturally dried, and then the structured surface was observed using an optical microscope (enlarged 300 times) to check for the accumulation of foreign material (urethane particles) (FIG. 4). With examples 1 and 2, there was almost no accumulation of urethane particles, and a pronounced improvement was observed as compared to comparative example 2. Comparative example 1 had a large accumulation of polyurethane particles even compared to comparative example 2.

Next, the abrasive material was ultrasonically cleaned using water, and the structured surfaces of examples 1 and 2 were observed in detail using an optical microscope (enlarged 1500 times). Damage to the surface in particular was not observed with example 1 , but there was partial peeling of the silicon film with example 2.

2. Automotive paint polishing test

In examples 3 through 5 and comparative example 3, the following abrasive materials A through C were used as polishing pads for removing microscopic protrusions on the surface of automobile paint.

Abrasive material A: Trizact (registered trademark) film disc roll 466 LA-A5 (produced by 3M, comparable to grit size#3000)

Abrasive material B: Trizact (registered trademark) film disc roll 466 LA- A3 (produced by 3M, comparable to grit size#4000)

Abrasive material C: Trizact (registered trademark) diamond disc 662 XA (produced by Sumitomo 3M)

The structured surface of the abrasive materials A through C was fluoride treated (example 3) or silicon treated (examples 4 and 5) using a batch type capacity coupled plasma device WB 7000 (Plasma Therm Industrial Products, Inc.). Comparative example 3 was untreated (control test). The detailed treatment conditions of examples 3 through 5 are presented in Table 1.

An adhesive sheet was applied to the back surface of abrasive materials A through C that were surface treated or untreated, and a disk with a diameter of 32 mm was punched out. A painted plate where black paint and clear paint (LX Clear produced by Nippon Paint) were coated onto a bonderized steel plate was attached to a device that could operate a sander in one horizontal direction, and one of the abrasive materials A through C was attached to the polishing surface of a 3M (registered trademark) polishing sander 3125 (produced by 3M) with 3 mm orbital movement, a load of 1 kgf was applied while rotating at

approximately 5000 rpm, and the surface of the painted plate was polished back and forth 5 times at a speed of 1 m/minute for a distance of 20 cm. After polishing, the amount of abrasive powder that had adhered to the surfaces of the abrasive materials A through C was visually observed, and the results are shown by an overall photograph in FIG. 5A, and by an optical micrograph (enlarged 300 times) in FIG. 5B. The lowest amount of abrasive powder adhered to the structured surfaces of abrasive materials A through C that had been silicon treated was in example 4.

Next, the abrasive materials A through C were washed with water and the structured surface thereof was observed by an optical microscope (enlarged 300 times) (FIG. 5C). Examples 3 to 5 all demonstrated favorable cleaning properties as compared to comparative example 3, and examples 4 and 5 which were silicon treated demonstrated even more favorable cleaning properties. For automotive paint polishing applications, the surface of the abrasive material is generally washed with water after polishing several times, and therefore an abrasive material with favorable washing properties is extremely advantageous for this application.

3. Glass plate surface polishing test

In examples 6 and 7 as well as comparative example 4, a Trizact

(registered trademark) diamond tile pad 9 μιη (produced by 3M) was used as a polishing pad that was used for polishing a glass plate surface.

The structured surface of the polishing pad was fluoride treated (example 6) or silicon treated (example 8) using a batch type capacity coupled plasma device WB 7000 (Plasma Therm Industrial Products, Inc.). Comparative example 4 was untreated (control test). The detailed treatment conditions of example 6 and 7 are presented in Table 1.

The abrasive pad of examples 6 and 7 as well as comparative example 4 were attached to a disk and set in a Buehler (registered trademark) EcoMet (registered trademark) 4000 (produced by Buehler). LA-20 5% aqueous solution (produced by Neos) was applied to the polishing system as the polishing solution. Aoita Glass (produced by Asahi Glass) was polished for 150 minutes under conditions of a load of 80 N, upper plate rotational speed of 60 rpm, and lower plate rotational speed of 450 rpm. Cleaning of the structured surface of the polishing pad was not performed during polishing.

After polishing, the polishing pad was placed in an oven at 60°C to evaporate of the polishing solution. The weight of the polishing pad after drying was measured (Wi). Next, the polishing pad was washed with water, placed in an oven at 60°C, and dried. The weight of the polishing pad after drying was measured (W 2 ). The amount of abrasive powder that adhered was calculated by the formula: W2 - Wi , and the value was 210 mg for example 6, and 1 10 mg for example 7, but was 250 mg for comparative example 4.

Examples 6 and 7 both demonstrated favorable cleaning properties as compared to comparative example 4, and example 7 which was silicon treated demonstrated even more favorable cleaning properties.

Table 1

(Reference Numerals)

10 abrasive material

1 1 abrasive layer

12 three-dimensional element

13 bulk layer

14 surface coating layer

15 backing

16 abrasive particles

17 binder

18 upper part of abrasive layer

19 lower part of abrasive layer

121 first triangular cone

122 second triangular cone

123 hexagonal cone

124 hipped roof shape