Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ATOMIC LAYER DEPOSITION METHODS FOR METAL GATE ELECTRODES
Document Type and Number:
WIPO Patent Application WO/2013/130435
Kind Code:
A1
Abstract:
Provided are devices and methods utilizing TiN and/or TaN films doped with Si, Al, Ga, Ge, In and/or Hf. Such films may be used as a high-k dielectric cap layer, PMOS work function layer, aluminum barrier layer, and/or fluorine barrier. These TiSiN, TaSiN, TiAIN, TaAlN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TaInN, TiHfN or TaHfN films can be used where TiN and/or TaN films are traditionally used, or they may be used in conjunction with TiN and/or TaN.

Inventors:
LEI YU (US)
GANDIKOTA SRINIVAS (US)
FU XINYU (US)
TANG WEI (US)
NOORI ATIF (US)
Application Number:
PCT/US2013/027748
Publication Date:
September 06, 2013
Filing Date:
February 26, 2013
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
LEI YU (US)
GANDIKOTA SRINIVAS (US)
FU XINYU (US)
TANG WEI (US)
NOORI ATIF (US)
International Classes:
H01L21/336; H01L29/78
Foreign References:
KR20090025589A2009-03-11
KR20100031854A2010-03-25
KR20110107206A2011-09-30
US20110315980A12011-12-29
JP2011249402A2011-12-08
Attorney, Agent or Firm:
CRISTALDI, Michelle A. (33 Wood Avenue South Second Floor Suite 21, Iselin New Jersey, US)
Download PDF:
Claims:
What is claimed is:

1. An integrated circuit transistor device comprising: a high-k dielectric layer disposed over a channel; and a metal nitride layer over the high-k dielectric layer, the metal nitride layer selected from 5 TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN and TaHfN.

2. The integrated circuit transistor device of claim 1, wherein the metal nitride layer is in contact with the high-k dielectric layer.

3. The integrated circuit transistor device of claim 1, further comprising one or more 10 intermediate layers between the high-k dielectric layer and the metal nitride layer.

4. The integrated circuit transistor device of any of claims 1-3, wherein a layer comprising aluminum overlies the metal nitride film.

5. The integrated circuit transistor device of any of claims 1-4, wherein the metal nitride layer is formed by atomic layer deposition and has a thickness having a range of about

15 2 Angstroms to about 200 Angstroms.

6. The integrated circuit transistor device of any of claims 1-5, wherein the metal nitride layer has a thickness having a range of about 5 Angstroms to about 100 Angstroms.

7. The integrated circuit transistor device of any of claims 1-6, wherein the metal nitride layer comprises TiSiN.

20 8. A method of forming an integrated circuit transistor device with a metal gate, the

method comprising: providing a substrate comprising a high-k dielectric layer; and exposing the substrate to a first precursor comprising Ti or Ta, a second precursor comprising an ammonia source, and a third precursor comprising a Si, Al, Ga, Ge, In or Hf source, 25 to provide a film selected from TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN,

TaGeN, TilnN, TalnN, TiHfN or TaHfN.

9. The method of claim 8, wherein the first precursor is selected from TaCl5, TaFs, TaBrs, pentakis(dimethylamino)tantalum, tertiarybutylimidotris(ethylmethylamido)tantalum, tertiarybutylimidotris(diethylamido)tantalum, TiCl4, TiBr4, TiL^, TiF4, and

tetrakisdimethyl-amino titanium.

10. The method of claim 8 or 9, wherein the substrate surface is exposed to the first and third or second and third precursors simultaneously. 11. The method of any of claims 8-10, the metal nitride layer comprises TiSiN.

12. The method of any of claims 8-9 and 10-11, wherein the substrate surface is alternately exposed to the first, second and third precursors.

13. The method of any of claims 8-12, wherein the substrate surface is exposed to the precursors repeatedly to obtain a film thickness of about 2 Angstroms to about 200 Angstroms.

14. The method of any of claims 8-13, further comprising depositing a layer comprising aluminum over the metal nitride layer.

15. The method of any of claims 8-14, further comprising depositing a layer over the metal nitride layer, wherein depositing the layer comprising exposure to a fluorine-containing precursor.

Description:
ATOMIC LAYER DEPOSITION METHODS FOR METAL GATE ELECTRODES

BACKGROUND

[0001] Embodiments of the invention generally relate to high-k dielectric and/or metal gate technology. More specifically, embodiments of the invention are directed to methods of depositing metal gate electrodes.

[0002] Microelectronic devices are fabricated on a semiconductor substrate as integrated circuits in which various conductive layers are interconnected with one another to permit electronic signals to propagate within the device. An example of such a device is a complementary metal-oxide-semiconductor (CMOS) field effect transistor (FET) or MOSFET. [0003] Over the past decades, the MOSFET has continually been scaled down in size and modern integrated circuits are incorporating MOSFETs with channel lengths of less than 0.1 micron. Devices with a 65 nm feature size (with the channel being even shorter) are currently in production. The decrease in feature size has resulted in certain challenges because small MOSFETs exhibit higher leakage currents, and lower output resistance than larger devices. Still, smaller MOSFETs are desirable for several reasons. The main reason to make transistors smaller is to pack more and more devices in a given chip area, reducing the price per chip. Additionally, the reduction in transistor dimension can help increase the speed.

[0004] Because of small MOSFET geometries, the voltage that can be applied to the gate must be reduced to maintain reliability. To maintain performance, the threshold voltage of the MOSFET has to be reduced as well. As threshold voltage is reduced, the transistor cannot be switched from complete turn-off to complete turn-on with the limited voltage swing available. Subthreshold leakage, which was ignored in the past, now can have a significant impact on device performance.

[0005] A gate electrode is part of an integrated circuit. For example, a CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in the semiconductor substrate. The gate structure generally comprises a gate electrode and a gate dielectric. The gate electrode is disposed over the gate dielectric to control a flow of charge carriers in a channel region that is formed between drain and source regions beneath the gate dielectric. The gate dielectric typically comprises a thin material layer having a dielectric constant of about 4.0 or greater (for example, gate oxides such as silicon dioxide (Si0 2 ), silicon oxynitride (SiON), and the like). As the gate length of silicon CMOS devices is scaled to less than 100 nm, new high dielectric constant (K) materials will likely replace silicon oxide. In addition, metal gates will likely replace polycrystalline silicon (polysilicon) gates. For example, in some CMOS transistors, the gate electrode may be formed from at least one of a metal (e.g., titanium (Ti), tantalum (Ta), tungsten (W), and the like) and metal-containing conductive compound (e.g., titanium nitride (TiN), tantalum nitride (TaN)). Replacement of polysilicon as a traditional material of the gate electrode with metals and metal-containing compounds reduces undesired voltage drops associated with the polysilicon depletion effect, as well as increases drive current performance and the operational speed of the CMOS transistor. [0006] Currently, ALD TiN has been used for two different steps in the metal gate process: high-k cap layer and/or PMOS work function metal. Many logic/foundry manufacturers utilize a furnace-based process using TiCl 4 and NH 3 as the precursors. However, the film produced with this process can have high oxygen content and therefore may not be ideal for future scalability (oxygen can increase the electrical thickness). There is therefore a need for improved films which do not exhibit these types of problems.

SUMMARY

[0007] One aspect of the invention relates to an integrated circuit transistor device. In a first embodiment, the invention relates to an integrated circuit transistor device comprising high-k dielectric layer disposed over a channel; and a metal nitride layer over the high-k dielectric layer, the metal nitride layer selected from TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN and TaHfN. Various embodiments are listed below. It will be understood that the embodiments listed below may be combined not only as listed below, but in other suitable combinations in accordance with the scope of the invention.

[0008] Embodiment two includes a modification to the integrated circuit transistor device of embodiment one, wherein the metal nitride layer is in contact with the high-k dielectric layer.

[0009] Embodiment three includes a modification to the integrated circuit transistor device of embodiment one, further comprising one or more intermediate layers between the high-k dielectric layer and the metal nitride layer. [0010] Embodiment four includes a modification to the integrated circuit transistor device of any of embodiments one through three, wherein a layer comprising aluminum overlies the metal nitride film.

[0011] Embodiment five includes a modification to the integrated circuit transistor device of any of embodiments one through four, wherein the metal nitride layer is formed by atomic layer deposition and has a thickness having a range of about 2 Angstroms to about 200 Angstroms.

[0012] Embodiment six includes a modification to the integrated circuit transistor device of any of embodiments one through five, wherein the metal nitride layer has a thickness having a range of about 5 Angstroms to about 100 Angstroms.

[0013] Embodiment seven includes a modification to the integrated circuit transistor device of any of embodiments one through six, wherein the metal nitride layer comprises TiSiN.

[0014] A second aspect of the invention pertains to a method of forming an integrated circuit transistor device with a metal gate. Accordingly, an eighth embodiment of the invention relates to a method comprising providing a substrate comprising a high-k dielectric layer; and exposing the substrate to a first precursor comprising Ti or Ta, a second precursor comprising an ammonia source, and a third precursor comprising a Si, Al, Ga, Ge, In or Hf source, to provide a film selected from TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN or TaHfN. [0015] Embodiment nine includes a modification to the method of embodiment eight, wherein the first precursor is selected from TaC15, TaF5, TaBr5, pentakis(dimethylamino)tantalum, tertiarybutylimidotris(ethylmethylamido)tantalum, tertiarybutylimidotris(diethylamido)tantalum, TiC14, TiBr4, TiI4, TiF4, and tetrakisdimethyl- amino titanium. [0016] Embodiment 10 includes a modification to the method of embodiment eight or nine, wherein the ammonia source is ammonia gas or N2H2 or N2H4.

[0017] Embodiment 11 includes a modification to the method of any of embodiments eight through 10, wherein the third precursor comprises one or more selected from A1C13, AlBr3, trimethylaluminium, dimethylaluminium hydride, tris(diethylamino)aluminium, trimethylamine alane, triethyl-amine alane, dimethylethylamine alane, triisobutylaluminum, triethylaluminum, dimethylaluminum hydride, diethylaluminum chloride, trimethyl gallium, gallium tribromide, gallium trichloride, triethylgallium, triisopropylgallium, tris(dimethylamido)gallium, tri-tert-butylgallium, digermane, germane, tetramethylgermanium, hafnium(IV) chloride, hafnium(IV) tert-butoxide, tetrakis(diethylamido)hafnium(IV), tetrakis(dimethylamido)hafnium(IV), tetrakis(ethylmethylamido)hafnium(IV), indium trichloride, triethylindium, indium acetylacetonate, indium(I) iodide, silane, disilane, trimethylsilane, and neopentasilane.

[0018] Embodiment 12 includes a modification to the method of any of embodiments eight through 11, wherein the substrate surface is exposed to the first and third or second and third precursors simultaneously.

[0019] Embodiment 13 includes a modification to the method of any of embodiments eight through 12, the metal nitride layer comprises TiSiN.

[0020] Embodiment 14 includes a modification to the method of any of embodiments eight through 11 and 13, wherein the substrate surface is alternately exposed to the first, second and third precursors.

[0021] Embodiment 15 includes a modification to the method of any of embodiments eight through 14, wherein the substrate surface is exposed to the precursors repeatedly to obtain a film thickness of about 2 Angstroms to about 200 Angstroms.

[0022] Embodiment 16 includes a modification to the method of any of embodiments eight through 15, wherein the substrate surface has a temperature of about 200 to about 700°C during deposition.

[0023] Embodiment 17 includes a modification to the method of any of embodiments eight through 16, further comprising depositing a layer comprising aluminum over the metal nitride layer. [0024] Embodiment 18 includes a modification to the method of any of embodiments eight through 17, further comprising depositing a layer over the metal nitride layer, wherein depositing the layer comprising exposure to a fluorine-containing precursor.

[0025] A third aspect of the invention also pertains to a method of forming an integrated circuit transistor device with a metal gate. Accordingly, in a nineteenth embodiment, the invention pertains to a method comprising providing a substrate comprising a high-k dielectric layer; exposing a substrate surface to two precursors, wherein the first precursor comprises Ti or Ta, and the second precursor comprises ammonia gas or N2H2 or N2H4, to provide a film comprising TaN or TiN; and exposing the substrate surface to a third precursor, wherein the third precursor comprises a Si, Al, Ga, Ge, In or Hf source, to provide a film comprising TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN or TaHfN.

[0026] Embodiment 20 includes a modification to the method of embodiment 19, wherein the substrate surface is exposed to the first and second precursors repeatedly before exposure to the third precursor. BRIEF DESCRIPTION OF THE DRAWINGS

[0027] So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

[0028] FIG. 1 is a cross-sectional view of a field effect transistor pair;

[0029] FIG. 2 shows the elemental content of a comparative MOSCAP structure; and

[0030] FIG. 3 shows the elemental content of a MOSCAP structure according to one or more embodiments of the invention.

DETAILED DESCRIPTION

[0031] Before describing several exemplary embodiments of the invention, it is to be understood that the invention is not limited to the details of construction or process steps set forth in the following description. The invention is capable of other embodiments and of being practiced or being carried out in various ways. It is also to be understood that the complexes and ligands of the present invention may be illustrated herein using structural formulas which have a particular stereochemistry. These illustrations are intended as examples only and are not to be construed as limiting the disclosed structure to any particular stereochemistry. Rather, the illustrated structures are intended to encompass all such complexes and ligands having the indicated chemical formula. [0032] Embodiments of the invention are useful in the manufacture of semiconductor devices, including but not limited to semiconductor devices that require a capacitive element. Examples of such devices include metal oxide semiconductor field effect transistors (MOSFET). MOS device design is a complicated process. For example, in the design of MOSFETs, improvements made by maximizing drive current result in increased leakage current. Conversely, an improvement such as decreased leakage current negatively impacts the drive current.

[0033] It has been discovered that doping TiN or TaN layers with certain elements provides very beneficial results during circuit integration. Such elements include Si, Al, Ga, Ge, In and Hf to provide TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN or TaHfN. These films can be advantageously used in any metal gate or metal electrode application in logic, DRAM or flash and/or any barrier application in logic, DRAM or flash. The films described herein may also have application in other parallel technologies. For example, the films can be used in the metal gate stack where TiN and/or TaN are usually used. Such gates include, but are not limited to, tri gate structures and FINFET, as well as replacement gate structure. Specifically, in one or more embodiments, the films described can be used as a high-k dielectric cap layer, as a PMOS work function metal and/or as an aluminum barrier layer. In one or more embodiments, the metal nitride films are effective as fluorine barriers, particularly when fluorine-containing precursors are used to deposit films over the metal nitride films. In some embodiments, the TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN or TaHfN films can be used in addition to conventional TiN and/or TaN films. The films, methods and devices described herein exhibit reduced electrical thickness (i.e., EOT), reduced gate leakage (i.e., Jg), improved device/carrier mobility, and increased work function. The films can also exhibits improved Al barrier properties, which allow for direct Al fill over the doped TiN/TaN film.

[0034] Accordingly, one aspect of the invention relates to an integrated circuit transistor device comprising: a high-k dielectric layer disposed over a channel; and a metal nitride layer over the high-k dielectric layer, the metal nitride layer selected from TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN and TaHfN. In one or more embodiments, the metal nitride layer is in contact with the high-k dielectric layer. This embodiment relates to scenarios where the metal nitride layer is a high-k dielectric cap layer. As the metal nitride layer can also act as aluminum barrier, there is no need for an additional Al barrier layer. Thus, the metal nitride can have dual functionality.

[0035] In one or more other embodiments, the integrated circuit transistor device further comprises one or more intermediate layers between the high-k dielectric layer and the metal nitride layer. In certain of these embodiments, the metal nitride layer can act as an Al barrier layer, where another high-k dielectric cap layer is utilized. In certain other of these embodiments, the metal nitride layer functions as a PMOS work function metal layer in the metal gate stack.

[0036] The metal nitrides layers described herein may be formed during an atomic layer deposition process, which will be described further below. In certain embodiments, the metal nitride layer can be as thin as about 2A or about 5A, ranging up to about 70A, about 80, about 100 or about 200A. In further embodiments, the metal nitride layer has a thickness ranging from about 2 Angstroms to about 200 Angstroms, from about 5 to about 100 Angstroms, or about 5 to about 80 Angstroms. [0037] One or more embodiments of the present invention provide methods that are particularly useful in forming complementary metal oxide semiconductor (CMOS) integrated- circuit devices and will be described in that context. Other devices and applications are also within the scope of the invention. FIG. 1 illustrates portions of a cross sectional view of a FET pair in a typical CMOS device. The FET pair shown comprises an NMOS FET and a PMOS FET, but it will be understood that the CMOS device can comprise additional FETs, and include FETs having the same conductivity type. Device 100 comprises a silicon substrate 155 doped with a p-type material, a p-type epitaxial silicon layer 165 on substrate 155, a p-type well region 120 and an n-type well region 150 defined in epitaxial layer 165, an n-type transistor (NMOS FET) 110 defined in p-well 120 and a p-type transistor (PMOS FET) 140 defined in n-well 150. Region 180 electrically isolates NMOS 110 and PMOS 140 transistors and region 160 electrically isolates the pair of transistors 110 and 140 from other semiconductor devices on substrate 155.

[0038] According to one or more embodiments of the invention, NMOS transistor 110 comprises a gate region 119, source region 114 and a drain region 116. The gate region 119 includes a high-k dielectric cap layer 121 and a metal gate work function layer 122. The source and drain regions are n-type regions on opposite sides of the gate region 119. Channel region 118 is interposed between source region 114 and drain region 116. A gate dielectric layer 112 separates channel region 118 and metal gate work function layer 121. Gate dielectric layer 112 electrically insulates first metal region 121 from channel region 118. The gate dielectric layer 112, the high-k dielectric cap layer 121 metal gate work function layer 122 together may be referred to herein as a gate stack. The gate dielectric region 112 can be any suitable high-k dielectric material. In one or more embodiments, the high-k dielectric cap layer 121 may comprise TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN and/or TaHfN films. In such embodiments, high-k dielectric cap layer 121 has dual functionality and also serves as an effective Al barrier. Alternatively, high-k dielectric cap layer 121 may comprise two layers: a TaN or TiN layer, as well as a doped metal nitride layer. In one or more embodiments, such TaN and/or TiN layers may be used as a buffer layer to prevent reaction between the high-k dielectric layer and the doped metal nitride layer. When an appropriate voltage is applied between p-type silicon substrate 155 and gate region 122, electrons from p-well 120 move into region 118 directly below dielectric layer 112 thereby creating an n-type channel 118. A voltage applied between source 114 and drain 116 causes current to flow between source 114 and drain 116.

[0039] According to one or more embodiments, PMOS transistor 140 comprises a gate region 149, a source region 144 and a drain region 146. The gate region 149 includes a high-k dielectric cap layer 151 and a metal gate work function layer 152. The source and drain regions are p-type regions on opposite sides of gate region 149. Channel region 148 is interposed between source region 144 and drain region 146. A gate dielectric 142 separates channel region 148 and high-k dielectric cap layer 151. Dielectric 142 electrically insulates high-k dielectric cap layer 151 from channel region 148. The gate dielectric layer 142, high-k dielectric cap layer 151 and metal gate work function layer 152 together may be referred to herein as a gate stack. In one or more embodiments of the invention, the high-k dielectric cap layer 151 can comprise a TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TilnN, TalnN, TiHfN and TaHfN film. In such embodiments, high-k dielectric cap layer 151 may have dual functionality and also serves as an effective Al barrier. In such embodiments, an aluminum-containing film overlies the doped metal nitride layer. In one or more embodiments, high-k dielectric cap layer 151 may comprise two layers: a TaN or TiN layer and a doped metal nitride layer. In some embodiments, metal gate work function layer 152, which is a PMOS work function layer, can comprise TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TiInN, TaInN, TiHfN and/or TaHfN films deposited according to one or more of the methods described herein. When an appropriate voltage is applied between p- type silicon substrate 155 and gate region 149, holes from n-well 150 move into region 148 directly below dielectric layer 142 thereby creating a p-type channel 148. A voltage applied between source 144 and drain 146 causes current to flow between source 144 and drain 146.

[0040] Thus, there are many combinations of using the metal nitride layers described herein in a gate stack. For example, in one embodiment, the gate stack may comprise a high-k dielectric layer, followed by a cap layer (e.g., doped TiN), followed by an etch stop layer (e.g., doped TaN), followed by a PMOS WF metal layer (doped TiN). Other embodiments relate to scaled devices comprising a high-k dielectric layer, followed by a high-k cap layer (e.g., doped TiN), followed by a PMOS work function metal (doped TiN), or just high-k/PMOS WF metal (e.g., doped TiN).

[0041] In one or more embodiments, the doped metal nitride layer is effective as a fluorine barrier. For example, WF6 may be used to deposit CVD W fill. The fluorine in the WF4 precursor may also deposit into the underlying substrate and modify it, for example increasing the work function of NMOS. Accordingly, by placing a doped metal nitride film over an NMOS film, fluorine contamination can be minimized during subsequent deposition using fluorine-containing precursors.

[0042] Another aspect of the invention relates to a method of forming an integrated circuit transistor device with a metal gate. The method comprises providing a substrate comprising a high-k dielectric layer; and exposing the substrate to a first precursor comprising Ti or Ta, a second precursor comprising an ammonia source, and a third precursor comprising a Si, Al, Ga, Ge, In or Hf source, to provide a film selected from TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TiInN, TaInN, TiHfN or TaHfN. In one or more embodiments, exposing the substrate surface comprises an atomic layer deposition process. In one or more other embodiments, the substrate surface is exposed to the precursors repeatedly to obtain a film thickness of about 2 Angstroms to about 200 Angstroms. In certain variants of the method, the substrate surface has a temperature of about 200 to about 700°C during deposition.

[0043] Many precursors are within the scope of the invention. Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized. Organometallic compounds or complexes include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors can be comprised of organometallic and inorganic/halide compounds

[0044] In generally, any suitable tantalum or titanium precursor used during the conventional TiN/TaN process can be used. Thus, tantalum precursors can include, but are not limited to TaC15, TaF5, TaBr5, pentakis(dimethylamino)tantalum (PDMAT), tertiarybutylimidotris(ethylmethylamido)tantalum (TBTEMT) and tertiarybutylimidotris(diethylamido)tantalum (TBTDET). Titanium precursors can include, but are not limited to TiC14, TiBr4, TiI4, TiF4, tetrakisdimethyl-amino titanium. Additionally, any suitable ammonia source precursor can be used. Examples include, but are not limited to, ammonia gas or N2H2 or N2H4.

[0045] Various precursors for the doping elements can be used. Examples of precursors for aluminum include, but are not limited to, A1C13, AlBr3, trimethylaluminium, dimethylaluminium hydride, tris(diethylamino)aluminium, trimethylamine alane, triethyl- amine alane, dimethylethylamine alane, triisobutylaluminum, triethylaluminum, dimethylaluminum hydride, and diethylaluminum chloride. Examples of gallium precursors include, but are not limited to, trimethyl gallium, gallium tribromide, gallium trichloride, triethylgallium, triisopropylgallium, tris(dimethylamido)gallium and tri-tert-butylgallium. Germanium precursors may be selected from digermane, germane, and tetramethylgermanium. Precursors for hafnium can include hafnium(IV) chloride, hafnium(IV) tert-butoxide, tetrakis(diethylamido)hafnium(rV), tetrakis(dimethylamido)hafnium(IV), and tetrakis(ethylmethylamido)hafnium(IV). Exemplary indium precursors include, indium trichloride, triethylindium, indium acetylacetonate, and indium(I) iodide. Finally, silane precursors can include, but are not limited to, silane, disilane, trimethylsilane, and neopentasilane. [0046] The way that the substrate surface is exposed to the precursors can be varied. In some embodiments, the substrate surface is exposed to the first and third precursors simultaneously. In other embodiments, the substrate surface is exposed to the second and third precursors simultaneously. In yet other embodiments, the substrate surface is alternately exposed to the first, second and third precursors. Table 1 below shows several non-limiting sequence variants.

Table 1: Exemplary Deposition Sequences

[0047] As briefly mentioned above, the TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TiInN, TaInN, TiHfN or TaHfN films can be used instead of conventional TiN or TaN films. However, they may also be used in addition to TiN and/or TaN film layers. Thus, in certain embodiments of the invention, the substrate surface is exposed to the first and second precursors repeatedly before being exposed to the third precursor.

[0048] Accordingly, another aspect of the invention relate to a method of forming an integrated circuit transistor device with a metal gate, the method comprising: providing a substrate comprising a high-k dielectric layer; exposing a substrate surface to two precursors, wherein the first precursor comprises Ti or Ta, and the second precursor comprises an ammonia source, to provide a film comprising TaN or TiN; and exposing the substrate surface to a third precursor, wherein the third precursor comprises a Si, Al, Ga, Ge, In or Hf source, to provide a film comprising TiSiN, TaSiN, TiAIN, TaAIN, TiGaN, TaGaN, TiGeN, TaGeN, TiInN, TaInN, TiHfN or TaHfN. In some embodiments, the substrate surface is exposed to the first and second precursors repeatedly before exposure to the third precursor. The precursors described above can be used in accordance with this method.

[0049] In such embodiments with TiN and TaN layers, the TiN/TaN film can have a thickness ranging from about 5, 10 or 20A to about 40 or 50A. Thus, in certain embodiments of this aspect, the substrate surface is exposed to the first and second precursor to obtain the desired TiN/TaN film thickness. In certain other embodiments, the substrate surface has a temperature of about 200 to about 700°C. In further embodiments, the substrate surface has a temperature of about 300 to about 600 °C. In yet other embodiments, the method further comprises depositing a conductive metal layer over the TiN or TaN layer.

[0050] Additionally, the films, methods and devices described herein can be deposited in a single wafer metal ALD chamber. Dual seal hardware can be used to minimize the oxygen content in the film to about 1%. In embodiments where TiN/TaN films are first deposited, followed by doped TiN/TaN layers, all of the deposition process can occur in the same chamber without breaking seal.

[0051] Other features of the process can be any suitable technique known to one of ordinary skill in the art. For example, in some embodiments, a purge gas (also referred to as a carrier gas or diluent gas) may be used during the deposition process. Any suitable purge gas may be used, such as, but not limited to, argon, helium, hydrogen, nitrogen and mixtures thereof.

[0052] Additionally, the high-k dielectric film can be any suitable film. In detailed embodiments, the high-k dielectric film comprises an element selected from the group consisting of Hf, Zr, Ta, La, Gd, Y, Al, Pr, Sc, Ti, In, Lu, rare-earth metals and combinations thereof. In specific embodiments, the high-k film metal oxides and/or metal silicates of one or more of Hf, Zr, Ta, La, Gd, Y, Al, Pr, Sc, Ti, In, Lu, rare-earth metals and combinations thereof. In detailed embodiments, the high-k dielectric film comprises hafnium oxide. Furthermore, the high-k dielectric film can be deposited by any suitable technique, including, but not limited to, chemical vapor deposition (CVD) and atomic layer deposition (ALD). In detailed embodiments, the high-k film is deposited by atomic layer deposition.

[0053] Finally, the processes of the invention can be carried out in equipment known in the art of ALD, CVD, etc. The apparatus brings the sources into contact with a substrate on which the films are grown.

EXAMPLE [0054] Two metal oxide semiconductor (MOSCAP) structures were produced. The first had thermal oxidation of an interface layer, ALD Hf0 2 , ALD TiN, CVD Co, CVD Al, and a TiN cap layer. This first MOSCAP structure is considered comparative because it does not contain a doped metal nitride layer. The second was similar, except that it had ALD TiSiN, instead of TiN. This second MOSCAP structure is considered inventive, because it contains a doped metal nitride layer (i.e., TiSiN). Figures 2 and 3 show the elemental content of the various layers in the structures of the comparative and inventive structures, respectively. As shown in Figure 2, the aluminum trace goes through the TiN and into the underlying Hf0 2 layer. In contrast, as shown in Figure 3, the aluminum trace terminates within the TiSiN layer and does not penetrate into the underlying Hf0 2 layer. These results show how the TiSiN layer is effective as an aluminum barrier layer, where previously used films (i.e., TiN), would allow aluminum migration. [0055] Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

[0056] Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and their equivalents.