Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
BARRIER MATERIALS FOR DISPLAY DEVICES
Document Type and Number:
WIPO Patent Application WO/2013/134661
Kind Code:
A1
Abstract:
Described herein are apparatus comprising one or more silicon-containing layers and a metal oxide layer. Also described herein are methods for forming one or more silicon-containing layers to be used, for example, as passivation layers in a display device. In one particular aspect, the apparatus comprises a transparent metal oxide layer, a silicon oxide layer and a silicon nitride layer. In this or other aspects, the apparatus is deposited at a temperature of 350°C or below. The silicon-containing layers described herein comprise one or more of the following properties: a density of about 1.9g/cm3 or greater; a hydrogen content of about 4x1022 cm-3 or less, and a transparency of about 90 % or greater at 400-700 nm as measured by a UV-visible light spectrometer.

Inventors:
RIDGEWAY ROBERT GORDON (US)
JOHNSON ANDREW DAVID (US)
MALLIKARJUNAN ANUPAMA (US)
VRTIS RAYMOND NICHOLAS (US)
LEI XINJIAN (US)
O'NEILL MARK LEONARD (US)
XIAO MANCHAO (US)
LI JIANHENG (US)
SAVO MICHAEL T (US)
Application Number:
PCT/US2013/029914
Publication Date:
September 12, 2013
Filing Date:
March 08, 2013
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
AIR PROD & CHEM (US)
International Classes:
C23C16/34; C23C16/40
Domestic Patent References:
WO2004009861A22004-01-29
Foreign References:
US20110114940A12011-05-19
US20120034451A12012-02-09
US20120045904A12012-02-23
US20100065841A12010-03-18
US4992299A1991-02-12
US20060286775A12006-12-21
EP1630249A22006-03-01
JP2007220646A2007-08-30
EP2346082A12011-07-20
US20120045904A12012-02-23
US20100059756A12010-03-11
Other References:
M. BLECH,A. LAADES, C. RONNING, B. SCHRÖTER, C. BORSCHEL, D. RZESANKE, A. LAWRENZ: "Detailed study of PECVD silicon nitride and correlation of various characterization techniques", THE COMPILED STATE-OF-THE-ART OF PV SOLAR TECHNOLOGY AND DEPLOYMENT : 24TH EUROPEAN PHOTOVOLTAIC SOLAR ENERGY CONFERENCE AND EXHIBITION ; CONFERENCE 21 - 25 SEPTEMBER 2009, EXHIBITION 21 - 24 SEPTEMBER 2009, HAMBURG ; PROCEEDINGS ; EU PVSEC, WIP-RENE, 21 September 2009 (2009-09-21), XP040529763, ISBN: 978-3-936338-25-6
G. N. PARSONS ET AL: "Low hydrogen content stoichiometric silicon nitride films deposited by plasma-enhanced chemical vapor deposition", JOURNAL OF APPLIED PHYSICS, vol. 70, no. 3, 1 January 1991 (1991-01-01), pages 1553 - 1560, XP055062904, ISSN: 0021-8979, DOI: 10.1063/1.349544
LIU ET AL.: "Influence of Passivation Layers on Characteristics of a-)nGaZnO Thin-Film Transistors", ELECTRON DEVICE LETTERS, IEEE, vol. 32, no. 2, pages 161 - 63, XP011343996, DOI: doi:10.1109/LED.2010.2091620
REMASHAN ET AL.: "Impact of Hydrogenation of ZnO TFTs by Plasma-Deposited Silicon Nitride Gate Dielectric", IEEE TRANSACTIONS ON ELECTRONIC DEVICES, vol. 55, no. 10, October 2008 (2008-10-01), pages 2736 - 43, XP011235114
RYO HAYASHI ET AL.: "Circuits Using Uniform TFTs Based on Amorphous In-Ga-Zn-O", JOUMAL OF THE SOCIETY FOR INFORMATION DISPLAY, vol. 15, no. 11, 2007, pages 915 - 92
KENJI NOMURA ET AL.: "Stability and High-Frequency Operation of Amorphous In-Ga-Zn-0 Thin-Film Transistors with Various Passivation Layers", THIN SOLID FILMS
Attorney, Agent or Firm:
WHITE, Geoffrey K. et al. (100 Pine StreetP.O. Box 116, Harrisburg Pennsylvania, US)
Download PDF:
Claims:
CLAIMS

1. An apparatus comprising:

a substrate comprising a metal oxide layer; and

a silicon nitride layer deposited onto at least a portion of the metal oxide wherein the silicon nitride layer comprises a density of 2.4 g/cm3 or greater and a hydrogen content of 4x1022 cm'3 or less.

2. The apparatus of claim 1 wherein the silicon nitride layer has a transparency of about 90% or greater at 400-700 nanometers.

3. The apparatus of claim 1 wherein the apparatus further comprises a silicon oxide layer deposited between the metal oxide layer and the silicon nitride layer.

4. The apparatus of claim 3 wherein the silicon oxide layer comprises a density of about 2.2 g/cm3 or greater.

5. The apparatus of claim 3 wherein the silicon oxide layer comprises a hydrogen content of 5 atomic % or less.

6. The apparatus of claim 1 wherein the metal oxide layer comprises at least one selected from the group consisting of Indium Gallium Zinc Oxide (IGZO), a-IGZO (amorphous indium gallium zinc oxide), Indium Tin Zinc Oxide (ITZO), Aluminum Indium Oxide (AllnOx), Zinc Tin Oxide (ZTO), Zinc Oxynitride (ZnON),

Magnesium Zinc Oxide, zinc oxide (ZnO), InGaZnON, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuAIO, SrCuo, LaCuOS, GaN, InGaN, AIGaN or InGaAIN and combinations thereof.

7. An apparatus comprising:

a substrate comprising a metal oxide layer;

a silicon nitride layer deposited onto at least a portion of the metal oxide wherein the silicon nitride layer comprises a density of 2.4 g/cm3 or greater, a hydrogen content of 4x1022 cm"3 or less, and a transparency of about 90% or greater at 400- 700 nanometers; and

a silicon oxide layer deposited between the metal oxide layer and the silicon nitride layer wherein the silicon oxide layer comprises a density of about 2.2 g/cm3 or greater.

8. The apparatus of claim 7 wherein the silicon oxide layer comprises a hydrogen content of 5 atomic % or less.

9. A method for depositing a silicon-containing film on at least one surface of a substrate wherein the substrate comprises a metal oxide, the method comprising: providing the at least one surface of the substrate in a reaction chamber;

introducing into the reaction chamber a silicon precursor selected from the group consisting of:

a. trisilylamine (TSA);

b. a dialkylaminosilane having a formula of R1R2NSiH3 wherein R1 is

independently selected from the group consisting of a Ο1-10 linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to C12 alkynyl group; and a C6 to C10 aryl group; R2 is independently selected from a Ο1- 0 linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to C12 alkynyl group; and a C6 to C10 aryl group and wherein R1 and R2 are linked to form a ring or R and R2 are not linked to form a ring;

c. an alkylsilane having a formula of R1nR2mSiH .m.n wherein R is independently selected from the group consisting of a ¾.10 linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to C12 alkynyl group; and a C6 to C10 aryl group; R2 is independently selected from a CMO linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group a C3 to Ci2 alkynyl group; and a C6 to C10 aryl group and wherein R1 and R2 are linked to form a ring or R and R2 are not linked to form a ring; m is 0, 1 , 2, 3, 4; and n is 1 , 2, 3;

d. an alkylalkoxysilane having a formula of R n(OR2)mSiH4.m.„ wherein R1 is independently selected from the group consisting of a CMO linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to C12 alkynyl group; and a C6 to C10 aryl group; R2 is independently selected from a d- 0 linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to Ci2 alkenyl group, a C3 to Ci2 alkynyl group; and a C6 to C10 aryl group and wherein R1 and R2 are linked to form a ring or R1 and R2 are not linked to form a ring; m is 1 , 2, 3, or 4; and n is 0, 1 , 2 or 3;

e. an organoaminosilanes having a formula of (R R2N)nSiH .n wherein R1 is independently selected from the group consisting of a C1-10 linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to d alkynyl group; and a C6 to do aryl group; R2 is independently selected from a d.i0 linear or branched alkyl group; a C4 to do cyclic alkyl group; a C3 to C12 alkenyl group a C3 to C12 alkynyl group; and a C6 to do aryl group and wherein R1 and R2 are linked to form a ring or R1 and R2 are not linked to form a ring; and n is 2, 3, or 4;

f. an isocyanatosilane selected from the group consisting of

tetra(isocynato)silane and tri(isocynato)silane;

g. an alkylazidosilanes having tie formula of R1R2R3SiN3 wherein R1, R2, and R3 are independently selected from the group consisting of a d-10 linear or branched alkyl group; a C4 to do cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to d2 alkynyl group; and a C6 to do aryl group; h. an alkylbridged disilanes having the formula of (R1R2R3Si)2(CH2)n ,

R1R2R3SiN3 wherein R1, R2, and R3 are independently selected from the group consisting of a d-10 linear or branched alkyl group; a C4 to do cyclic alkyl group; a C3 to d2 alkenyl group; a C3 to Ci2 alkynyl group; and a C6 to do aryl group; and n =1 , 2, 3;

i. an alkoxysilane having a formula of Si(OR1)4 wherein R1 is independently selected from the group consisting of a d-10 linear or branched alkyl group; a C4 to do cyclic alkyl group; a C3 to d alkenyl group; a C3 to C12 alkynyl group; and a C6 to do aryl group; and combinations thereof; introducing into the reaction chamber an source selected from an oxygen source, a nitrogen-containing source, or a combination thereof; and

depositing via a deposition process the thin silicon containing layer on the at least one surface of the substrate at one or more temperatures ranging from about 25°C to 350°C;

wherein the vapor deposition process is selected from a group consisting of chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), cyclic chemical vapor deposition (CCVD), plasma enhanced cyclic chemical vapor deposition (PECCVD, atomic layer deposition (ALD), and plasma enhanced atomic layer deposition (PEALD).

10. The method of claim 9 wherein the silicon-containing film comprises at least one or more of the following properties: a density greater of about 1.9g/ cm3 or greater, a hydrogen content of 4x1022 cm"3 or less, and a transparency >90 % at 400-700 nm.

1 1. The method of claim 9 wherein the silicon precursor is selected from the group consisting of trisilylamine, tetraethoxysilane, diethoxydimethylsilane, 1 ,4- disilabutane, diethylsilane, and triethylsilane.

12. The method of claim 9 wherein the oxygen source is selected from the group consisting of water H20), oxygen (02), oxygen plasma, ozone (03), NO, N20, carbon monoxide (CO), carbon dioxide (C02) and combinations thereof.

13. The method of claim 9 wherein the nitrogen-containing source is selected from the group consisting of ammonia, hydrazine, monoalkylhydrazine,

dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, NF3, and mixtures thereof.

14. The method of claim 9 wherein the temperature of the depositing step ranges from about 150°C to about 350°C.

15. The method of claim 9 wherein the deposition process is plasma enhanced

chemical vapor deposition (PECVD) or PECCVD.

16. The method of claim 9 wherein the silicon precursor comprises trisilylamine.

17. The method of claim 9 wherein the silicon-containing film comprises a single passivation layer.

18. The method of claim 9 wherein the silicon-containing film comprises a double passivation layers.

19. A method for depositing a silicon-containing gradiated layer or bilayer on at least one surface of a metal oxide substrate, the method comprising:

providing the at least one surface of the substrate in a reaction chamber;

introducing into the reaction chamber a silicon precursor selected from the group consisting of

a) Trisilylamine;

b) Dialkylaminosilane with a formula of R1R2NSiH3 ; wherein R1 is chosen from the group consisting of C -10 linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-io aryl; R2 is selected from the group consisting of CMO linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-io aryl; R1 and R2 can form an alkyl substituted cyclic alkyl or aryl ring;

c) Alkylsilanes with a formula of R nR2mSiH4.m.n ; wherein R1 is chosen from the group consisting of CMO linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-io aryl; R2 is selected from the group consisting of CMO linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-io aryl; R and R2 can form an alkyl substituted cyclic alkyl or aryl ring; m = 0, 1 , 2, 3, 4; n=1 , 2, 3;

d) Organoaminosilanes with a formula of (R1R2N)nSiH4.n ; wherein R1 is chosen from the group consisting of hydrogen, C1-10 linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-io aryl; R2 is selected from the group consisting of CMO linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-i0 aryl; R1 and R2 can form an alkyl substituted cyclic alkyl or aryl ring; n= 2, 3, 4

e) Isocyanatosilane including tetra(isocynato)silane and tri(isocynato)silane; and f) Alkylazidosilanes wth a formula of R1R2R3SiN3 wherein R1 3 are independenly chosen from the group consisting of d-i0 linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-io aryl; Examples include but not limited to Me3SiN3, Et3SiN3; and

g) Alkylbridged silanes with a formula of (R R2R3Si)2(CH2)n , wherein R1"3 are independently chosen from the group consisting of C1-10 linear or branched alkyl, alkyl containing nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, C6-i0 aryl and n =1 , 2, 3.

h) alkoxysilane having a formula of Si(OR1)4 wherein R1 is

independently selected from the group consisting of a C - 0 linear or branched alkyl group; a C4 to C10 cyclic alkyl group; a C3 to C12 alkenyl group; a C3 to C12 alkynyl group; and a C6 to C10 aryl group.

introducing into the reaction chamber an oxygen source;

providing reaction temperature in the reaction chamber from 25°C to 350°C; and depositing bottom part of the silicon-containing gradiated layer or bottom layer of the bilayer on the at least one surface of the substrate;

stopping the oxygen source;

introducing into the reaction chamber a nitrogen-containing source;

depositing top part of the silicon-containing gradiated layer or top layer of the bilayer on the part of the bottom silicon-containing gradiated layer or the bottom layer of the bilayer on the at least one surface of the substrate;

wherein the silicon precursor containing no oxygen and the depositing is selected from a group consisting of chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), cyclic chemical vapor deposition (CCVD), plasma enhanced cyclic chemical vapor deposition (PECCVD), atomic layer deposition (ALD), and plasma enhanced atomic layer deposition (PEALD).

20. The method of Claim19, wherein the silicon precursor is trisilylamine, the bottom part of the silicon-containing gradiated layer or the bottom layer of the bilayer comprising SiCO or Si02 , and the top part of the silicon-containing gradiated layer or the top layer of the bilayer comprising SiNC or Si3N4; and the depositing is plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced cyclic chemical vapor deposition (PECCVD).

Description:
TITLE OF THE INVENTION:

BARRIER MATERIALS FOR DISPLAY DEVICES

CROSS REFERENCE TO RELATED APPLICATIONS

[0001] This patent application claims the priority benefit of prior US Provisional Patent Application Serial Number 61/609,045 filed March 9, 2012.

BACKGROUND OF THE INVENTION

[0002] Display devices have been in production for a wide range of electronic applications, such as flat screen televisions (TV), flat monitors, mobile phone, MP3 players, electronic book or eBook readers, and personal digital assistants (PDAs) and the like. The display devices are designed for producing a desired image by applying an electric field to a liquid crystal that fills a gap between two substrates and has an anisotropic dielectric constant that controls the intensity of the dielectric field. By adjusting the amount of light transmitted through the substrates, the light and image intensity, image quality, and/or power consumption may be efficiently controlled.

[0003] Thin film transistors (TFTs) for flat panel displays benefit from a lower processing temperature (e.g., 350°C or below) so that alternative substrates that are lighter and less expensive than the presently used substrate or glass can be used. Various display devices, such as active matrix liquid crystal display (AMLCD) or an active matrix organic light emitting diodes (AMOLED), can be employed as light sources for display devices which use touch screen panels. Amorphous oxide semiconductors (AOS), transparent amorphous oxide semiconductor (TAOS) or metal oxide materials are fast emerging as replacement materials for TFTs that provides higher performance than glass be improving the device's electrical performance and are processable at lower

temperatures. Examples of AOS, transparent amorphous oxide semiconductor (TAOS) or metal oxide materials that are being considered as replacements for TFTs include Indium Gallium Zinc Oxide (IGZO), a-IGZO (amorphous gallium indium zinc oxide), Indium Tin Zinc Oxide (ITZO), Aluminum Indium Oxide (AllnOx), Zinc Tin Oxide (ZTO), Zinc Oxynitride (ZnON), Magnesium Zinc Oxide, zinc oxide (ZnO) and variations thereof. Despite their advantages over traditional materials, these materials have a temperature processing limitation of about 350°C or less. Further, these films may be deposited onto plastic substrates which lower their temperature processing limitation to about 200°C. Additionally, certain AOS, TAOS, or metal oxide materials may be damaged by the presence of hydrogen atoms in adjacent passivation, gate insulating layers, or both by reacting with the transparent amorphous oxide semiconductor (TAOS) or metal oxide materials, thereby resulting in current leakage or other types of device failure.

[0004] The reference "Influence of Passivation Layers on Characteristics of a-lnGaZnO Thin-Film Transistors ", Liu et al., Electron Device Letters, IEEE, Vol. 32(2), (20110, pp. 161-63 ("Liu et al."), investigated the effect of deposition conditions of a dual passivation layer consisting of silicon oxide and silicon nitride atop on the threshold volage (Vt) of the a-lnGaZnO TFT. The test structure used in Liu et al. consisted of a p-type silicon wafer which had a silicon substrate that served as the gate electrode, a 200 nanometer (nm) thick thermally grown silicon dioxide layer which acted as the gate insulator layer, a 45 nm thick source/drawn (Al) electrodes adjacent a 50 nm thick a-IGZO channel layer. The Al electrodes and a-IGZO layer was topped with a dual passivatbn layer consisting of a 30 nm silicon oxide layer and a 180 nm thick silicon nitride layer. The silicon oxide and silicon nitride films were deposited by plasma enhanced chemical vapor deposiion (PECVD) at 200°C using SiH 4 /N 2 0/N 2 and 250°C using SiH 4 /NH 3 /N 2 , respectively. The threshold voltage (VT) of the TFTs shifted markedly as a result of the mechanical stress induced by the passivation layers above. By adjusting the depositbn parameters of the silicon nitride top layer during the passivation process, the performance of the TFTs can be modulated. The optimized a-lnGaZnO TFTs after dual passivatbn exhibited the following characteristics: a field-effect mobility of 1 1.35 cm 2 /V s, a threshold voltage of 2.86 V, a subthreshold swing of 0.5V, and an on-off ratio of 10 s .

[0005] The reference "Impact of Hydrogenation of ZnO TFTs by Plasma-Deposited Silicon Nitride Gate Dielectric", Remashan et al., IEEE Transactions on Electronic Devices, Vol. 55, No. 10 (Oct. 2008), pp. 2736-43, describes the effects of depositing by PECVD a silicon nitride layer having variable refractive indices for use as a gate dielectric layer on a zinc oxide (ZnO) TFT with a bottom gate configuration. The authors stated that hydrogenation is one of the methods in which performance of ZnO TFTs can be improved because hydrogen acts as a defect passivator and a shallow n-type dopant in ZnO materials. In Remashan et al., the four silicon nitride films were deposited via PECVD at a pressure of 650 mTorr, temperature of 300°C, and power of 30 W but using different molar ratios of silane relative to ammonia and nitrogen to provide silicon nitride films having different refractive indices (e.g., 2.39, 2.26, 1.92, and 1.80) and dielectric constants (7.9, 8.4, 6.7, and 6.1). The authors found that the amongst all of the TFTs, the device having the highest refractive index sil on nitride film or SiN_2.39 exhibited the best performance in terms of field-effect mobility , subthreshold slope, and maximum interface state density. An analysis of the secondary ion mass spectroscopy (SIMS) data showed that the amount of hydrogen present at the ZnO/insulator interface and in the ZnO channels for the TFT structures using a SiN_2.39 was much higher than those structures using a SiN_1.80. Therefore, the authors have concluded that the enhanced performance of the TFTs using the SiN_2.39 films is attributed to the incorporation of hydrogen into the ZnO channel and ZnO/insulator interface from the SiN_2.39.

[0006] The reference "Circuits Using Uniform TFTs Based on Amorphous In-Ga-Zn-O", Ryo Hayashi et al., Journal of the Society for Information Display, Vol. 15(1 1), 2007, pp. 915-92 discloses high-performance and excellent-uniformity thin-film transistors (TFTs) having bottom-gate structures fabricated using an amorphous indium-gallium-zinc-oxide (IGZO) film and an amorphous-silicon dioxide film as the channel layer and the gate insulator layer, respectively. All of the 94 TFTs fabricated with an area 1 cm 2 show almost identical transfer characteristics: the average saturation mobility is 14.6 cm 2 /(V- sec) with a small standard deviatbn of 0.1 1 cm 2 /(V-sec). A five-stage ring-oscillator composed of these TFTs operates at 410 kHz at an input voltage of 18 V. Pixel-driving circuits based on these TFTs are also fabricated with organic light-emitting diodes (OLED) which are monolithically integrated on the same substrate. It was demonstrated that light emission from the OLED cells can be switched and modulated by a 120-Hz ac signal input. Amorphous-IGZO-based TFTs are prominent candidates for building blocks of large-area OLED-display electronics.

[0007] The reference, "Stability and High-Frequency Operation of Amorphous In-Ga-Zn- O Thin-Film Transistors with Various Passivation Layers", Kenji Nomura et al., Thin Solid Films, doi:10.1016/j.tsf.2011.10.068 (2011), investigated the stability of amorphous ln-Ga-Zn-0 (a-IGZO) thin-film transistors (TFTs) focusing on the effects of passivation layer materials (Y 2 0 3 , Al 2 0 3 , Hf0 2 , and Si0 2 ) and thermal annealing. Positive bias constant current stress (CCS), negative bias stress without light illumination (NBS), and negative bias light illumination stress (NBLS) were examined. It was found that Y 2 0 3 was the best passivation layer material in this study in terms of all the stability tests if the channel was amealed prior to the passivation formation (post-deposition annealing) and the passivation layer was annealed at 250°C (post-fabrication annealing). Post- fabrication thermal anneaing of the Y 2 0 3 passivation layer produced very stable TFTs against the CCS and NBS stresses and eliminated sub gap photoresponse up to the photon energy of 2.9 eV. Even for NBLS with 2.7 eV photons, the threshold voltage shift is suppressed well to - 4.4 V after 3 hours of testing. These results provide the following information; (i) passivation removes the surface deep subgap defects in a-IGZO and eliminates the subgap photoresponse, but (ii) the bulk defects in a-IGZO should be removed prior to the passivation process. The Y 2 0 3 -passivated TFT is not only stable for these stress conditions, but is also compatible with high-frequency operation with the current gain cut-off frequency of 91 kHz, which is consistent with the static

characteristics.

[0008] US Publ. No. 2012/045904 ("the '904 Publ.") discloses methods of forming a hydrogen free silicon containing layer in TFT devices. The hydrogen free silicon containing layer may be used as a passivation layer, a gate dielectric layer, an etch stop layer, or other suitable layers in TFT devices, photodiodes, semiconductor diode, light- emitting diode (LED), or organic light-emitting diode (OLED), or other suitable display applicatbns. In one embodiment, a method for forming a hydrogen free silicon containing layer in a thin film transistor includes supplying a gas mixture comprising a hydrogen free silicon containing gas and a reacting gas into a plasma enhanced chemical vapor deposition chamber, wherein the hydrogen free silicon containing gas is selected from a group consisting of SiF 4 , SiCU, Si 2 CI 6 , and forming a hydrogen free silicon containing layer on the substrate in the presence of the gas mixture.

[0009] US Publ. No. 2010/059756 ("'the 756 Publ.") disclose a thin film transistor (TFT). The TFT may include an intermediate layer between a channel and a source and drain. An increased off current which may occur to a drain area of the TFT is reduced due to the intermediate layer which is formed of amorphous silicon (a-Si), poly-Si, germanium (Ge), or silicon-germanium (SiGe).

[0010] Therefore, there is a need for a display device and method to manufacture same that provides one or more of the following advantages: good electrical properties meaning that it retains its semiconductive nature after processing; low processing temperatures (e.g., 350°C or less) reduced hydrogen contents; improved electrical performance; and long term stability. BRIEF SUMMARY OF THE INVENTION

[0011] Described herein are apparatus comprising one or more silicon-containing layers and a transparent metal oxide. Also described herein are methods for forming one or more silicon-containing layers to be used, for example, as passivation layers in a display device.

[0012] The low temperature silicon-containing films have at one least one or more of the following properties: a density of about 1.9 grams per cubic centimeter (g/cm 3 or g/cc) or greater; a hydrogen content of 4 x10 22 cm "3 or less; a transparency of 90% or greater at 400-700 nm as measured by a UV-visible light spectrometer; and combinations thereof. In one particular embodiment, the silicon-containing film are silicon nilride or silicon oxynitride have at one least one or more of the following properties: a density of about 2.2g/ cm 3 or greater; a hydrogen content of about 4x10 22 cm "3 or lower, and a transparency of about 90% or greater at 400-700 nm as measured by a UV-visible light spectrometer. In one aspect, there is provided an apparatus comprising: a substrate comprising a metal oxide layer; and a silicon nilride layer deposited onto at least a portion of the metal oxide wherein the silicon nitride layer comprises a density of about 2.4 g/cm 3 or greater and a hydrogen content of about 4x10 22 cm "3 or less as measured by an analytical technique such as Fourier transform infrared spectroscopy (FT-IR), Rutherford Backscattering Spectrometry (RBS), or hydrogen forward scattering (HFS) or other method. In this or other embodiments, the silicon nitride layer has a transparency of about 90% or greater at 400-700 nanometers are measured by UV-visible light spectrometry.

[0013] In a further aspect, there is provided an apparatus comprising: a substrate comprising a metal oxide layer; a silicon nilride layer deposited onto at least a portion of the metal oxide wherein the silicon nilride layer comprises a density of about 2.4 g/cm 3 or greater, a hydrogen content of 4x10 22 cm '3 or less, and a transparency of about 90% or greater at 400-700 nanometers are measured by UV-visible light spectrometry; and a silicon oxide layer deposited between the metal oxide layer and the silicon nitride layer wherein the silicon oxide layer comprises a density of about 2.2 g/ cm 3 or greater.

[0014] In a still further aspect, there is provided a method for depositing a silicon- containing film on at least one surface of a substrate wherein the substrate comprises a metal oxide, the method comprising: providing the at least one surface of the substrate in a reaction chamber;

introducing into the reaction chamber a silicon precursor selected from the group consisting of:

a. trisilylamine (TSA);

b. a dialkylaminosilane having a formula of R 1 R 2 NSiH 3 wherein R 1 is

independently selected from the group consisting of a Ο 1-10 linear or branched alkyl group; a C 4 to Ci 0 cyclic alkyl group; a C 3 to C 1 2 alkenyl group; a C 3 to Ci 2 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independently selected from a Ci. 10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group a C 3 to C 2 alkynyl group; and a C 6 to C 0 aryl group and wherein R and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring;

c. an alkylsilane having a formula of R 1 n R 2 mSiH . m .n wherein R 1 is independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to C 0 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to Ci 2 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independently selected from a Ci - 0 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group a C 3 to C i2 alkynyl group; and a C 6 to C 10 aryl group and wherein R and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; m is 0, 1 , 2, 3, 4; and n is 1 , 2, 3;

d. an alkylalkoxysilane having a formula of R 1 n (OR 2 ) m SiH 4-m . n wherein R 1 is independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to Ci 2 alkenyl group; a C 3 to C i2 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independently selected from a C 1-10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to Ci 2 alkenyl group a C 3 to C i2 alkynyl group; and a C 6 to C 10 aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; m is 1 , 2, 3, or 4; and n is 0, 1 , 2 or 3;

e. an organoaminosilanes having a formula of (R 1 R 2 N) n SiH 4 . n wherein R 1 is independently selected from the group consisting of a C^ 0 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to Ci 2 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independently selected from a CM O linear or branched alkyl group; a C 4 to Cio cyclic alkyl group; a C 3 to C 12 alkenyl group a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; and n is 2, 3, or 4; f. an isocyanatosilane selected from the group consisting of

tetra(isocynato)silane and tri(isocynato)silane;

g. an alkylazidosilanes having tie formula of R R R 3 SiN 3 wherein R 1 , R 2 , and R 3 are independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to do cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to do aryl group; h. an alkylbridged disilanes having the formula of (R 1 R 2 R 3 Si) 2 (CH 2 ) n ,

R R 2 R 3 SiN 3 wherein R 1 , R 2 , and R 3 are independently selected from the group consisting of a d- 10 linear or branched alkyl group; a C to do cyclic alkyl group; a C 3 to d 2 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; and n =1 , 2, 3;

i. an alkoxysilane having a formula of Si(OR 1 ) 4 wherein R 1 is independently selected from the group consisting of a d- 10 linear or branched alkyl group; a C 4 to do cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C12 alkynyl group; and a C 6 to do aryl group; and combinations thereof; introducing into the reaction chamber an source selected from an oxygen source, a nitrogen-containing source, or a combination thereof; and depositing via a vapor deposition process the thin silicon containing layer on the at least one surface of the substrate at one or more temperatures ranging from about 25°C to 350°C; wherein the vapor deposition process is selected from a group consisting of chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), cyclic chemical vapor deposition (CCVD), plasma enhanced cyclic chemical vapor deposition (PECCVD, atomic layer deposition (ALD), and plasma enhanced atomic layer deposition (PEALD).

BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS [0015] Figure 1 shows the relationship between density (g/cm 3 ) and hydrogen (H) content (as measured by XPS) for the various silicon-containing films deposited onto silicon substrates and provided in Examples 1 and Comparative Examples 2 through 7. Figure 1 shows that the highest density layers were obtained by the TSA precursors.

[0016] Figure 2 shows the relationship between density and H-content for films deposited using TSA precursor at different temperature ranges (150-325°C) where the diamonds on the Figure relate to density and the squares on the Figure relate to hydrogen content

[0017] Figure 3 shows the relationship between density and H-content for the films deposited at 300°C using TSA as the precursor in Example 1 wherein the squares indicate data that was obtained using LF power and the diamonds indicate data that was obtained without LF power

[0018] Figure 4 provides a comparison of the moisture barrier performance for 100 nm thick silicon-containing films deposited from the following precursors: (A) (shown as diamonds on Figure) trisilylamine and NH 3 (density = 2.36 g/cm 3 ); (B) (shown as triangles on Figure) di-isopropylaminosilane and NH 3 (density=2.1 1 g/cm 3 ) (C) (shown as squares on the Figure) dimethyldiethoxysilane and H 2 (density = 1.95 g/cm 3 ); and (D) (shown as circles on the Figure) trimethylsilane and NH 3 (density = 1.88 g/cm 3 ).

[0019] Figure 5 provides a comparison of moisture barrier performance for 82 nm thick and 100 nm thick films deposited from TEOS and 0 2 (or wafers 16 and 7 which had a density = 2.25 g/ cm 3 ) or trisilylamine and NH 3 (or wafers 6, 7, and 8 which had a density = 2.52 g/ cm 3 ), respectively.

[0020] Figure 6 provides an exemplary device structure that was used to evaluate impact on surface recombination velocity in Example 9.

[0021] Figure 7 provides the surface recombination velocity observed for High Resistivity Float Zone Silicon Passivated with TSA + NH 3 nitride and varying thickness of TEOS + 0 2 PECVD Silicon Oxide deposited between the silicon substrate and the silicon nitride and described in Example 9.

[0022] Figure 8a through 8e provides exemplary structures that were used to measure the impact of passivation layers on IGZO resistivity and are described in Example 10.

[0023] Figures 9a and 9b provide examples of the single passivation layer and double passivation layer embodiments of the apparatus described herein. [0024] Figure 10 provides Ihe relationship between stress measured in megapascals and time measured in hours between different exemplary devices

DETAILED DESCRIPTION OF THE INVENTION

[0025] Apparatuses comprising transparent metal oxides such as, for example, IGZO- based TFTs, are being implemented for mobile displays. In one particular embodiment wherein the composition of the transparent metal oxide comprises IGZO, the thermal budget, which relates to the upper limit of the processing temperature that the apparatus can be subjected to, requires that one or more passivation films be deposited at one or more temperatures of 300°C or below. In this or other embodiments, the one or more passivation layers provide good hermeticity, which is described herein as impervious to a fluid such as, without limitation a gas, a liquid or combinations thereof , by having a density of about 2.4 grams per cubic centimeter (g/cm 3 or g/cc) or higher and a hydrogen content of 4 x 10 22 cm "3 or lower or 2 x 10 22 cm 3 or lower. The prior art describes dual layer structures wherein silane gas (SiH 4 ) is used to form SiN:H and Si0 2 films to passivate passivate the a-Si TFTs underlying structure. While these SiN:H and Si0 2 films can be formed at temperatures below 300°C, the density and H-content of these films does not achieve the desired properties needed for passivating the underlying transparent metal oxide layer when deposited at temperatures below 300°C. In this regard, the desired properties for a silicon containing film that can be used as one or more passivation layers for a metal oxide layer in a display device comprise one or more of the following: a deposition temperature of about 350°C or less; a density of about 2.4 g/cm 3 or higher a hydrogen content of about 2x1ο 22 cm "3 or lower; a transparency of about 90% from 400-700 nm as measured by UV-Vis Spectrometer; and combinations thereof.

[0026] Described herein is a method to deposit a silicon containing film that can be employed as one or more passivation layers for a display device which comprises at least one silicon-containing layer and at least one transparent metal oxide layer. The term passivation layer could mean, without limitation, a passivition layer, a gate dielectric layer, an etch stop layer, or other suitable layer in a display device such as a TFT device, a OLED device, a LED device or ofrier display applications. The term silicon-containing films as used herein can mean a silicon, amorphous silicon, crystalline silicon, microcrystalline silicon, polycrystalline silicon, stoichiometric or non-stoichiometric silicon nitride, or non-stoichiometric silicon oxide, carbon doped silicon oxide, silicon carbo- nitride, and silicon oxynitride films. Of the foregoing, the one or more silicon-containing films are comprised of silicon oxide, silicon nitride, silicon oxynitride, silicon carboxide, and silicon carboxynitrde. The term "metal oxide" means one or more layers within the device that is suitable for use in a display device. In this regard, the metal oxide layer exhibits one or more the following properties: has requisite transparency for use in a display device, exhibts high electron mobility, and can be manufactured at low processing temperatures (e.g., 350°C or below or 300°C or below). Examples of metal oxides include but are not limited to, Indium Gallium Zinc Oxide (IGZO), a-IGZO

(amorphous indium gallium zinc oxide), Indium Tin Zinc Oxide (ITZO), Aluminum Indium Oxide (AllnOx), Zinc Tin Oxide (ZTO), Zinc Oxynitride (ZnON), Magnesium Zinc Oxide, zinc oxide (ZnO), InGaZnON, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuAIO, SrCuo, LaCuOS, GaN, InGaN, AIGaN or InGaAIN and combinations thereof.

[0027] In addition to the one or more passivation layers and metal oxide layer, the display device may further include, without limitation, gate insulation layers, gate electrode layer(s), source drain layer(s), and other layers. The apparatus and method described herein may be used to deposit the at least one silicon-containing and metal oxide layer onto at least a portion of a substrate. Examples of suitable substrates include but are not limited to, glass, plastics, stainless steel, organic or polymer films, silicon, Si0 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonrtride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper, aluminum, chromium, molybdenum and gate electrodes such as, but not limited to, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN, ITO or other gate electrodes. The silicon-containing films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes. In certain embodiments, the silicon-containing layer described herein has a dielectric constant of that ranges from about 4.0 to about 5.5 or from about 4.0 to about 4.5.

[0028] In one embodiment 10 of the apparatus described herein and shown in Figure 9a, the silicon-containing film is deposited as a single passivation layer 30 onto at least a portion of a metal oxide 20 that can be use, for example, in a display device. In an alternative embodiment 100 of the apparatus described herein and shown in Figure 9b, the silicon-containing film is deposited onto one or more silicon-containing films above the metal oxide layer 120 which is shown as passivation layer 2, or 140 on Figure 9b, and passivation layer 1 , or 130 on Figure 9b, to provide a double passivation layer structure or multi-layered passivation layer structure. In one embodiment, the silicon- containing films in the double passivation or multi-layered are different types of silicon- containing films. Alternatively, the silicon-containing films in the double or multi-layered structures can be the same types of silicon-containing films but alternated in a variety of ways, such as without limitation, SixOy, SiwNz, SixOy, and SiwNz; SixOy, SixOy, and SiwNz; SixOy, SiwNz, and SiwNz; and various combinations thereof. While the exemplary structures shown in Figures 9a and 9b show the one or more passivation layers deposited onto at least a portion of the metal oxide film, it is understood that the one or more layers are not limited to arrangement of layers depicted in Figures 9a and 9b and may be above or below metal oxide layer and one or more passivation layer(s), sandwiched , imbedded, surrounded, have intervening layers which are not silicon- containing, or any other spatial relationships with respect to each other and are subsequently not limited thereto.

[0029] In one particular embodiment, the display device comprises at least two passivation layers deposited onto the metal oxide layer such as that shown in Figure 9b wherein the passivation layers comprise: a silicon oxide or layer 140 as passivatbn layer 2 and a silicon nitride as layer 130 or passivation layer 1. In one particular embodiment of the apparatus shown in 9b, the metal oxide layer comprises IGZO and the at least two passivation layers act as a barrier to protect the IGZO film from diffusion of atmospheric impurities (e.g., be hermetic) while not impacting to any great significance the resistivity of the IGZO film post treatment. In this particular embodiment, the apparatus comprises a high density silicon nitride film (e.g., having a density of 2.4 g/cm 3 or greater) as passivation layer 1 and is deposited by the prescursor trisilylamine (TSA) and ammonia (NH 3 ) at one or more temperatures that range from about 80°C to about 400°C. The device further comprises a silicon oxide film as passivation layer 2 to prevent the diffusion of active hydrogen contained in the silicon nitride to the IGZO located beneath the oxide. The silicon oxide fifri can be deposited at one or more temperatures ranging from 80°C to 400°C. It is desirable that the precursor selected and the deposition process conditions impart a minimum of hydrogen, hydroxyl groups, or other moieties such as carbon, hydrocarbons or other functional groups which can react with the metal oxide layer such as IGZO. In one particularembodiment, passivation layer 2 or 140 in Figure 9b is a low temperature deposited (e.g., 300°C or less) silicon oxide film which is deposited from triethylsilane, diethylsilane, or tetraethoxysilane and has one or more of the following properties: a thickness of about 2 nm to about 200 nm, a density of about 2.2 g/ cm 3 or greater, and a hydrogen content of about 5 atomic percent or less. In this or other embodiments, the passivatbn layer 2 or 140 in Figure 9b is deposited from a silicon-containing precursor which does not contain a Si-H group because it is known that Si-H may react with the metal oxide, thus damaging the electric property of the metal oxide layer. While not being bound to theory, for apparatus having two or more passivation layers comprising a silicon oxide and silicon nitide, the applicants believe that the selection of the silicon oxide precursor and its deposition parameters and the silicon nitride and its deposition parameters are important to ensure that the attributes of one or more passivation layers do not adversely impact the resisitivity of the metal oxide layer.

[0030] In one particular embodiment, the apparatus of display device described herein comprises at least one passivation layer that is deposited using the precursor trisilylamine (TSA) and is a silicon nitride or a silicon oxynitride film. In this embodiment, the passivation layer is deposited using a PECVD process at a deposition temperature of 300°C employing trisilylamine TSA and provides a film density of 2.5 g/cm 3 or greater and a hydrogen content of 2x10 22 cm "3 or less. In a further embodiment, described herein is an apparatus comprising a TSA-deposited silicon nitride film which was deposited via PECVD at a even lower temperature deposition temperature or 200°C and has a density of about 2.4 g/cm 3 or greater. In both of the above embodiments, the TSA- deposited silicon nitride films provide a transparency requirements of 90% transparency or greater from 400-700 nm as measured by UV-visible light spectrometer to be suitable for display device applications. Further, in both of these embodiments, the apparatus has at least one or more passivation layers that allows the metal oxide layer, such as a metal oxide layer comprising IGZO, to have a resistance that is semiconductive (e.g., having a resistance of from 1x10 4 to 1x10 5 Ohms/square (Ώ/α)). The apparatus described herein retains this resistance range or remains semiconductive even after it has been exposed to high temperature and high humidity or 85°C and 85% humidity cycles.

[0031] As previously mentioned, in addition to the silicon nitride passivatbn layer, in one embodiment of the apparatus described herein, the apparatus further comprises a silicon oxide layer. This silicon oxide layer, like the silicon nitride layer, has at least one or more of the following properties: a thickness of about 2 nm to about 200 nm, a density of about 2.2 g/ cm 3 or greater, and a hydrogen content of about 5 atomic percent or less. In certain embodiments, the precursor used to deposit the silicon oxide film does not have a Si-H bond such as tetraalkoxysilane (TEOS).

[0032] The method used to form the one or more silicon-containing film(s) or layer(s) and the metal oxide layer(s) are referred to herein as a deposition process. Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, chemical vapor depositions (CVD), cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposiion ("PECVD"), high density PECVD, photon assisted CVD, plasma-photon assisted

("PPECVD"), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD). In certain embodiments, the films are deposited via atomic layer deposition (ALD), plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process. As used herein, the term "chemical vapor deposition processes" refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition. As used herein, the term "atomic layer deposition process" refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits films of materials onto substrates of varying compositions. Although the precursors, reagents and sources used herein may be sometimes described as "gaseous", it is understood that the precursors can also be liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. In one embodiment, the one or more films is deposited using an ALD process. In another embodiment, the one or more films is deposited using a CCVD process. In a further embodiment, the one or more films is deposited using a thermal CVD process. The term "reactor" as used herein, includes without limitation, reaction chamber or deposition chamber.

[0033] In certain embodiments, the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursors prior to and/or during the introduction to the reactor. In this connection, deposition techniques such as ALD or CCVD processes are used to deposit the film. In one embodiment, the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the silicon-containing precursor, oxygen source, nitrogen-containing source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.

[0034] The silicon-containing precursors using for depositing the one or more silicon- containing films or layers are selected from the group consisting of:

a. trisilylamine (TSA);

b. a dialkylaminosilane having a formula of R R 2 NSiH 3 wherein R is independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independently selected from a Ci_i 0 linear or branched alkyl group; a C 4 to Cio cyclic alkyl group; a C 3 to Ci 2 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to do aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring;

c. an alkylsilane having a formula of R^R^SiH^-n wherein R 1 is independently selected from the group consisting of a d-10 linear or branched alkyl group; a C to do cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to do aryl group; R 2 is independently selected from a d-10 linear or branched alkyl group; a C to do cyclic alkyl group; a C 3 to d 2 alkenyl group; a C 3 to d 2 alkynyl group; and a C 6 to do aryl group and wherein R 1 and R 2 are linked to form a ring or R and R 2 are not linked to form a ring; m is 0, 1 , 2, 3, 4; and n is 1 , 2,

3;

d. an alkylalkoxysilane having a formula of R 1 n (OR 2 ) m SiH 4 - m - n wherein R 1 is independently selected from the group consisting of a d-10 linear or branched alkyl group; a C 4 to do cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to do aryl group; R 2 is independently selected from a d-10 linear or branched alkyl group; a C 4 to do cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to d 2 alkynyl group; and a C 6 to do aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; m is 1 , 2, 3, or 4; and n is 0, 1 , 2 or 3;

e. an organoaminosilanes having a formula of (R R 2 N) n SiH 4 . n wherein R 1 is independently selected from the group consisting of a d-10 linear or branched alkyl group; a C 4 to do cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to Ci 0 aryl group; R 2 is independently selected from a C 1-10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to do aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; and n is 2, 3, or 4;

f. an isocyanatosilane selected from the group consisting of

tetra(isocynato)silane and tri(isocynato)silane;

g. an alkylazidosilanes having the formula of R 1 R 2 R 3 SiN 3 wherein R 1 , R 2 , and R 3 are independently selected from the group consisting of a d- 10 linear or branched alkyl group; a d to d 0 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group;

h. an alkylbridged disilanes having the formula of (R 1 R 2 R 3 Si) 2 (CH 2 )n , R 1 R 2 R 3 SiN 3 wherein R 1 , R 2 , and R 3 are independently selected from the group consisting of a d- 10 linear or branched alkyl group; a d to d 0 cyclic alkyl group; a to C 12 alkenyl group a C 3 to d 2 alkynyl group; and a to do aryl group; and n =1 , 2, 3;

i. an alkoxysilane having a formula of Si(OR 1 ) 4 wherein R 1 is

independently selected from the group consisting of a d- 10 linear or branched alkyl group; a d to d 0 c y c ' ic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C i2 alkynyl group; and a to C 10 aryl group; and combinations thereof.

[0035] In another embodiment, the one or more silicon-containing layer(s) is deposited using a deposition process described herein from a composition comprising trisilylamine (TSA) and one or more of the silicon-containing precursors selected from the group consisting of:

a. a dialkylaminosilane having a formula of R 1 R 2 NSiH 3 wherein R 1 is independently selected from the group consisting of a d -10 linear or branched alkyl group; a d to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a d to C 12 alkynyl group; and a to do aryl group; R 2 is independently selected from a d- 10 linear or branched alkyl group; a C 4 to do cyc'ic alkyl group; a C 3 to d 2 alkenyl group; a C 3 to d 2 alkynyl group; and a to C 10 aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring;

b. an alkylsilane having a formula of R 1 n R m SiH 4 . m . n wherein R 1 is independently selected from the group consisting of a d- 10 linear or branched alkyl group; a C 4 to Ci 0 cyclic alkyl group; a C 3 to Ci 2 alkenyl group; a C 3 to Ci 2 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independenty selected from a C -10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to Ci 2 alkynyl group; and a C 6 to C 10 aryl group and wherein R 1 and R 2 are linked to form a ring or R and R 2 are not linked to form a ring; m is 0, 1 , 2, 3, 4; and n is 1 , 2,

3;

c. an alkylalkoxysilane having a formula of R 1 n (OR 2 ) m SiH 4 . m . n wherein R 1 is independently selected from the group consisting of a Ci_ 10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to Ci 0 aryl group; R 2 is independently selected from a Ci_i 0 linear or branched alkyl group; a C 4 to Cio cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to Ci 2 alkynyl group; and a C 6 to C 10 aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; m is 1 , 2, 3, or 4; and n is 0, 1 , 2 or 3;

d. an organoaminosilanes having a formula of (R 1 R 2 N) n SiH 4 . n wherein R 1 is independently selected from the group consisting of a d. 10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; R 2 is independently selected from a C,. 10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to Ci 2 alkenyl group; a C 3 to Ci 2 alkynyl group; and a C 6 to Ci 0 aryl group and wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; and n is 2, 3, or 4;

e. a halosilane selected from the group consisting of monchlorosilane, dichlorosilane, trichlorosilane, tetrachlorosilane, and hexachlorosilane;

f. an alkoxyaminosilane having a formula of (R 1 R 2 )NSiR 3 OR 4 OR 5 ; wherein R 1 is independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to Ci 0 cyclic alkyl group; a C 3 to Ci 2 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to Ci 0 aryl group; R 2 and R 3 are independently selected from the group consisting of hydrogen, a C 1-10 linear or branched alkyl group; a C to C 10 cyclic alkyl group; a C 3 to C 2 alkenyl group a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; R 4 and R 5 are independently selected from the group consisting of a d. 10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; wherein R 1 and R 2 are linked to form a ring or R 1 and R 2 are not linked to form a ring; and wherein R 4 and R 5 are linked to form a ring or R 4 and R 5 are not linked to form a ring; g. an isocyanatosilane selected from the group consisting of

tetra(isocynato)silane and tri(isocynato)silane;

h. an alkylazidosilane having the formula of R R 2 R 3 SiN 3 wherein R 1 , R 2 , and R 3 are independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to C 10 cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 10 aryl group; and

i. an alkylbridged disilane having the formula of (R R 2 R 3 Si) 2 (CH 2 ) n , R 1 R 2 R 3 SiN 3 wherein R 1 , R 2 , and R 3 are independently selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to Ci 0 cyclic alkyl group; a C 3 to C 12 alkenyl group a C 3 to Ci 2 alkynyl group; and a C 6 to Cio aryl group; and n is 1 , 2, or 3;

j. alkoxysilane having a formula of Si(OR 1 ) 4 wherein R 1 is independenly selected from the group consisting of a C 1-10 linear or branched alkyl group; a C 4 to

Cio cyclic alkyl group; a C 3 to C 12 alkenyl group; a C 3 to C 12 alkynyl group; and a C 6 to C 0 aryl group; and combinations thereof.

[0036] In the above embodiments for the composition comprising trisilylamine and one or more silicon-containing precursor, the percentage of trisilylamine in the composition ranges from 0.5 to 99% depending whether the deposited silicon-containing film or passivation film can meet the requirements of targeted display devices. One preferred embodiment is a mixture of trisilylamine with di-iso-propylaminosilane which would allow the deposited films to be tuned to meet the application requirements. Another preferred embodiment is a mixture of trisilylamine with diethylsilane as both of which boiing point are close to each other and allow them to be mixed in liquid form and can be delivered via direct liquid injection.

[0037] Of the foregoing silicon-containing precursors, exemplary dialkylaminosilanes include, but not limited to, di-iso-propylaminosilane, di-sec-butylaminosilane, and 2,6- dimethylpiperidinosilane. Exemplary alkylsilanes include, but not limited to, are diethylsilane(2ES), di(tert-butyl)silane, di(iso-propyl)silane, di(sec-butyl)silane, di(iso- butyl)silane, di(tert-amyl)silane, triethylsilane(3ES), tri(tert-butyl)silane, tri(iso- propyl)silane, tri(sec-butyl)silane, tri(iso-butyl)silane, tri(tert-amyl)silane, tert- butyldiethylsilane, tert-butyldipropylsilane, diethylisopropylsilane, cyclopentylsilane, and phenylsilane. Exemplary alkylalkoxysilanes include, but not limited to, tetraethoxysilane (TEOS), diethoxydimethylsilane, and tetraethoxysilane. Exemplary organoaminosilanes include, but not limited to, tri(dimethylamino)silane, di-isopropylaminosilane, and bis(tert- butylamino)silane. Exemplary alkylazidosilane precursors include, but not limited to, Me 3 SiN 3 and E^SilS^. Exemplary alkylbridged silanes include, but not limited to, 1 ,4- disilabutane.

[0038] In the formulas above and throughout the description, the term "alkyl" denotes a linear, or branched functional group having from 1 to 10 or 1 to 4 carbon atoms.

Exemplary alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, iso-pentyl, tert-pentyl, hexyl, isohexyl, and neohexyl. In certain embodiments, the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.

[0039] In the formulas above and throughout the description, the term "cyclic alkyl" denotes a cyclic functional group having from 3 to 12 or from 4 to 10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.

[0040] In the formulas above and throughout the description, the term "aryl" denotes an aromatic cyclic functional group having from 6 to 12 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.

[0041] In the formulas above and throughout the description, the term "alkenyl group" denotes a group which has one or more carbon-carbon double bonds and has from 2 to 12 or from 2 to 6 carbon atoms. Exemplary alkenyl groups include, but are not limited to, vinyl or allyl groups

[0042] In the formulas above and throughout the description, the term "alkynyl group" denotes a group which has one or more carbon-carbon triple bonds and has from 2 to 12 or from 2 to 6 carbon atoms.

[0043] In the formulas above and throughout the description, the term "alkoxy" denotes an alkyl group which has is linked to an oxygen atom (e.g., R-O) and may have from 1 to 12, or from 1 to 6 carbon atoms. Exemplary alkoxy groups include, but are not limited to, methoxy (-OCH 3 ), ethoxy(-OCH 2 CH 3 ), n-propoxy (-OCH2CH 2 CH 3 ), and iso-propoxy (- OCHMe 2 ).

[0044] In certain embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, alkoxy group, and/or aryl group in the formulas above may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, CI, I, or Br), nitrogen, and phosphorous. In other embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, alkoxy group, and/or aryl in the formula may be un substituted.

[0045] In certain embodiments, substituents R 1 and R 2 or substitutents R 4 and R 5 (if present) are linked in the above formulas are linked to form a ring structure. In certain embodiments, R 1 and R 2 and/or R 4 and R 5 (if present) in the above formulas can be linked together to form a ring. As the skilled person will understand, whee R 1 and R 2 are linked together to form a ring R 1 will include a bond (instead of a hydrogen substituent) for linking to R 2 and vice versa. Thus, in the example above R 1 may be selected from a linear or branched Ci to C 0 alkylene moiety; a C 2 to C12 alkenylene moiety; a C 2 to C 12 alkynylene moiety; a C 4 to C 10 cyclic alkyl moiety; and a C 6 to C10 arylene moiety. In these embodiments, the ring structure can be unsaturated such as, for example, a cyclic alkyl ring or saturated, for example, an aryl ring. In these embodiments, the ring structure can also be substituted or substituted. In other embodiments, substituent R 1 and R 2 and substituent R 4 and R 5 (if present) are not linked.

[0046] In certain embodiments, the silicon-containing film or layer deposited using the methods described herein are formed in the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen. In one particular embodiment such as that depicted in Figure 9b, the silicon-containing film 140 or passivation layer 2 comprises silicon oxide and is deposited using the methods described above are formed in the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen. An oxygen source may be introduced into the reactor in the form of at least one oxygen source and/or may be present incidentally in the other precursors used in the deposition process. Suitable oxygen source gases may include, for example, water (H 2 0) (e.g., deionized water, purifier water, and/or distilled water), oxygen (0 2 ), oxygen plasma, ozone ((¾), NO, N 2 0, carbon monoxide (CO), carbon dioxide (C0 2 ) and combinations thereof. In certain embodiments, the oxygen source comprises an oxygen source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (seem) or from about 1 to about 1000 seem. The oxygen source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In one particular embodiment, the oxygen source comprises water having a temperature of 10°C or greater. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pdsed without a purge in-between. The oxygen source or reagent is provided in a molecular amount less than a 1 :1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited dielectric film.

[0047] In certain embodiments, the silicon-containing comprise silicon and nitrogen. In these embodiments, the silicon-containing deposited using the methods described herein are formed in the presence of nitrogen-containing source. In one particular embodiment such as that depicted in Figure 9b, the silicon-containing film 130 or passivation layer 1 comprises silicon nitride and is deposited using the methods described above are formed in the presence of nitrogen using a nitrogen, reagent or precursor comprising nitrogen. A nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen source and/or may be present incidentally in the other precursors used in the deposition process. Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, NF 3 and mixture thereof. In one particular embodiment, NF 3 is used to reduce the hydrogen content in the resulting films because hydrogen can react with the metal oxide thereby adversely effecting the performance of the display devices. In certain embodiments, the nitrogen-containing source comprises an ammonia plasma or hydrogen/nitrogen plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (seem) or from about 1 to about 1000 seem. The nitrogen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.

[0048] The deposition methods disclosed herein may involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N 2 ), helium (He), neon, hydrogen (H 2 ), and mixtures thereof. In certain embodiments, a purge gas such as Ar is supplied hto the reactor at a flow rate ranging from about 10 to about 2000 seem for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.

[0049] The respective step of supplying the precursors, oxygen source, the nitrogen- containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting dielectric film.

[0050] Energy is applied to the at least one of the silicon-containing precursor, oxygen- containing source, nitrogen-containing source, reducing agent, other precursors and/or combination thereof to induce reaction and to form the silicon-containing film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof. In certain embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein Ihe deposition involves plasma, the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied to the reactor.

[0051] The silicon-containing precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways. In one embodiment, a liquid delivery system may be utilized. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition withotf thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.

[0052] In certain embodiments, the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the at least one silicon-containing precursor is kept at one or more temperatures for bubbling. In other embodiments, a solution comprising the at least one silicon-containing precursor is injected into a vaporizer kept at one or more temperatures for direct liquid injection.

[0053] In a typical ALD or CCVD process, the substrate such as a silicon oxide substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the complex to chemically adsorb onto the surface of the substrate. A purge gas such as argon purges away unabsorbed excess complex from the process chamber. After sufficient purging, a nitrogen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repealed to achieve the desired film thickness.

[0054] The rate of the deposition of the silicon-containing films can be in the range of 0.1 nm to 5000 nm per minute. The rate can be controlled by varying any one of the following non-limiting parameters: depositbn temperature, the vaporizer temperature, the flow of the LFC, the flow rate of the reactive of 0 2 gas and/or the pressure at the CVD reactor. Choice of precursor can also determine the deposition rate.

[0055] The resultant films or coatings may be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.

[0056] In the method described herein, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentialy or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and the nitrogen-containing source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting dielectric film.

[0057] In certain embodiments, passivation layers 1 and 2, shown in Figure 9b as 130 and 140 respectively, are deposited using the same silicon-containing precursor. The silicon-containing films for passivation layer 1 comprise silicon and nitrogen which is formed in the presence of nitrogen-containing source. An nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen source and/or may be present incidentally in the other precursors used in the depositbn process. The silicon-containing films for passivation layer 2 comprise silicon and oxygen which is deposited using the methods described above h the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen.

[0058] In certain embodiments, it may be advantageous to deposit a gradiated layer or a bilayer which is gradiated from top to bottom comprising SiCO or Si0 2 at the bottom and a SiNC or Si 3 N 4 at the top. In this embodiment, the gradiated layer is deposited from a first reagent mixture comprising a silicon containing precursor and a oxygen containing precursor, such as, trisilylamine and 0 2 , ozone, or N 2 0, and then replacing the flow of the oxygen containing gas with an nitrogen containing gas, such as, N 2 , ammonia, or hydrazine. If the silicon containing precursor already contains nitrogen then the second step may be performed using just an inert gas or hydrogen. The changing of the oxygen to nitrogen containing or inert gases can be gradual or abrupt resulting in either a gradiated layer or a bilayer structure. Such gradiated layer or a bilayer is advantageous as the SiOC layer protects the underlying layer from hydrogen generated during the deposition of the SiCN layer, while the SiCN layer acts as a moisture barrier in the final device. The silicon precursor for this embodiment would not contain oxygen as the oxygen from the precursor is difficult to prevent from incorporating into the SiCN or Si 3 N 4 film.

[0059] The temperature of the reactor or deposition chamber for the deposition may range from one of of the following endpoints: ambient temperature 25°C; 50°C; 75°C; 100°C; 125°C; 150°C; 175°C; 200°C; 225°C; 250°C; 300°C; 325°C; 350°C; 375°C; 400°C; and any combinations thereof. In this regard, the temperature of the reactor or deposition chamber for the deposition may range from ambient temperature 25°C to about 400°C, 100°C to 370°C, 150°C to 325°C, or 100°C to 300°C, or any combinations of the temperature end-points described herein. [0060] The pressure of the reactor or deposition chamber may range from about 0.1 Torr to about 1000 Torr. The respective step of supplying the precursors, the oxygen source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting dielectric film.

[0061] The following examples illustrate the method for preparing a dielectric film described herein and are not intended to limit it in any way.

EXAMPLES

General Deposition Conditions

[0062] The silicon containing films were deposited onto medium resistivity (8-12 Qcm) single crystal silicon wafer substrates. In certain examples, the substrate may be exposed to a pre-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film. For example, it may be advantageous to subject the IGZO film to a N 2 0, 0 2 , or 0 3 plasma treatment or an 0 3 chemical treatment to ensure complete oxidation of the IGZO. This allows for the semiconducting properties to be preserved or enhanced prior to film deposition.

[0063] All depositions were performed on an Applied Materials Precision 5000 system in a 200 mm DXZ chamber fitted with an Astron EX remote plasma generator, using either a silane or a TEOS process kit. The PECVD chamber is equipped with direct liquid injection delivery capability. With the exception of silane, all precursors were liquids with delivery temperatures dependent on the precursor's boiling point. Typical liquid precursor flow rates ranged from 100 to 800 mg/min, the plasma power density ranged from 0.75 to 2.5 W/cm 2 , and the pressure range was from 0.75 to 8 torr. The thickness of the films and refractive index (Rl) at 632 nm were measured by a reflectometer. Typical film thickness for all above analysis ranged from 100 to 1000 nm. In general, Rl was not a sensitive indicator of film properties in this study.-Bonding properties of the silicon- containing were analyzed with a Nicolet transmission Fourier transform infrared spectroscopy (FTIR) tool. All density measurements were accomplished using X-ray reflectivity (XRR). X-ray Photoeleclron Spectroscopy (XPS) and Rutherford

Backscattering Spectrometry (RBS) were performed to determine the film composition. Wet etch rate (WER) was measured in a 10:1 Buffered Oxide Etch (BOE) solution. A mercury probe was utilized for all film measurements where dielectric constant, electrical leakage and breakdown field are presented. A Sinton WCT-120 Quasi Steady State Photoeonduetive Decay tool was used to measure minority carrier lifetimes in Float Zone High Resistivity Silicon with the minority carrier concentration of 5x10 14 and 1x10 15 cm "3 .

[0064] Silicon precursors were screened using a design of experiment (DOE) methodology summarized below: precursor flow from 100 to 800 mg/min; NH 3 /He flow from 100 seem to 1000 seem, pressure from 0.75 to 8 torr; RF power (13.56 MHz) 400 to 1000 W; Low-frequency (LF) power 0 to 100 W; and deposition temperature ranged from 150 to 350°C. The DOE experiments were used to determine what process parameters produced the optimal film for use as a passivation layer in a display device.

[0065] IGZO films were prepared by sputtering from an IGZO target using a Kurt Lesker Sputtering System with the wafer temperature less than 100°C. The sputtering pressure was around 6 mTorr with 10% oxygen and 90% argon as the gas mixture. The wafers were then annealed at 350°C in N 2 ambient atmosphere for 2 hours. A Signatone four- point probe was used to estimate the sheet resistance after annealing. The sheet resistivity before and after annealing was measured by Keithley 6517A electrometer & 8009 fixture (with concentric ring electrodes contact).

Example 1 : Deposition of Silicon-Containing Rims Using Trisilylamine (TSA) and Ammonia (NH 3 )

[0066] A number of silicon-containing films were deposited using trisylamine (TSA) as precursor onto a 8 inch silicon substrate to see if any of the films would be suitable passivation layers in terms of density and hydrogen content The composition of the films were measured by XPS and RBS/HFS and showed that the films were comprised of SixNy:Hz wherein the amount of silicon, nitrogen, and hydrogen or x, y, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H- content relationship for these barrier films deposited using TSA precursor.

[0067] Of the films deposited by TSA and shown in Figure 1 , the process conditions used to deposit the silicon-containing films having the highest density and lowest hydrogen content using TSA were as follows TSA flow (100-200 mgm), NH 3 flow (100 seem), He (1000 seem), Pressure (2 torr), RF (400 W), LF (0-100 W), and Temp (300°C). Of the films shown in Figure 1 , the process conditions that produced the best TSA films in the data set had densities and hydrogen content of 2.4 - 2.5 g/cm 3 and 2.0x10 22 to 2.2x10 22 cm "3 , respectively.

[0068] For those data points shown in Figure 1 , Figure 2 shows the relationship between density (left x-axis), deposition temperature (y-axis), and H-content (right x-axis) for various TSA deposited-films deposited at temperatures ranging from 200 to 300°C. The square data points represent the H-content and the diamond data points represent the density for each film deposited at three different deposition temperatures (e.g., 200, 250 and 300°C). Figure 2 general^ shows that the density decreases as the H-content increases.

[0069] Figure 3 shows the relationship between density and H-content for various TSA- deposited films all of which were deposited at 300°C. The data points represented by the diamonds and squares represents different process conditions. The diamond datapoints had no LF power whereas the square data points had LF power applied. The data shows that the depositions where LF power was applied generally had lower H content.

Comparative Example 2: Deposition of Silicon-Containing Films Using

Dimethyldiethoxysilane (DMDES)

[0070] Silicon-containing films were deposited using dimethyldiethoxysilame (DMDES) as precursor. The composition of the films were measured by XPS and showed that the films were comprised of SixCyOa: Hz wherein the amount of silicon, carbon, oxygen and hydrogen or x, y, a, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H-content relationship for these silicon-containing films deposited using the DMDES precursor.

[0071] The process parameters that produced the highest density and lowest hydrogen content film shown in Figure 1 using the DMDES precursor were the following: DMDES flow (200 mgm), H 2 flow (1000 seem), He (300 seem), Pressure (2 torr), RF (400 W), LF (100 W), and temperature (300°C). Density and H-content for these films under these conditions were 2.0 g/cm 3 and 1.6x10 22 cm "3 , respectively. The DMDES deposited films did not have the requisite density or hydrogen content to be an optimal passivation layer for a display device comprising a metal oxide layer compared to the TSA deposited films. Comparative Example 3: Deposition of Silicon-Containing Films Using Di- isopropylaminosilane (DIPAS)

[0072] Silicon-containing films were deposited using di-isopropylaminosilane (DIPAS) as precursor. The films were analyzed by XPS and showed that they were comprised of SixCyNa.Hz wherein the amount of silicon, carbon, nitrogen and hydrogen or x, y, a, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H-content relationship for these silicon-containing films deposited using the DIPAS precursor.

[0073] The process parameters that produced the highest density and lowest hydrogen content film shown in Figure 1 using the DIPAS precursor were the following: DIPAS flow (200 mgm), NH 3 flow (500 seem), He (300 seem), Pressure (2 torr), RF (800 W), LF (0 W), and temperature (300°C). Density and H-content fom the SiCNH films under these conditions were 2.3 g/cm 3 and 3.1x10 22 cm "3 , respectively. The DIPAS deposited films did not have the requisite density or hydrogen content to be an optimal passivation layer for a display device comprising a metal oxide layer compared to the TSA deposited films.

Comparative Example 4: Deposition of Silicon-Containing Films Using 1 ,4 Disiliabutane

[0074] Silicon-containing films were deposted using 1 ,4 disilabutane as precursor. The films were analyzed by XPS and showed that they were comprised of SixCyNa:Hz wherein the amount of silicon, carbon, nitrogen and hydrogen orx, y, a, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H- content relationship for these silicon-containing films deposited using the 1 ,4 disilabutane precursor.

[0075] The process parameters that produced the highest density and lowest hydrogen content film shown in Figure 1 using the 1 ,4 silabutane precursor were the following: 1 ,4 disilabutane flow (200 mgm), NH 3 flow (500 seem), He (300 seem), Pressure (2 torr), RF (1000 W), LF (100 W), and temperature (300°C). Density and H-content fom the SiCNH films under these conditions were 2.3 g/cm 3 and 2.95E22 cm "3 , respectively. The 1 ,4 disilabutane deposited films did not have the requisite density or hydrogen contentto be an optimal passivation layer for a display device comprising a metal oxide layer compared to the TSA deposited films. Comparative Example 5: Deposition of Silicon-Containing Films Using a Mixture of TSA and Tri-Dimethylaminosilane (tDMAS)

[0076] Silicon-containing films were deposted using a mixture of TSA and tri- dimethylaminosilane (tDMAS) as precursor in varying ratios: 0, 0.60, 1.00 and 1.67. The films were analyzed by XPS and showed that they were comprised of SixCyNa:Hz wherein the amount of silicon, carbon, nitrogen and hydrogen orx, y, a, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H- content relationship for these silicon-containing films deposited using the mixture of the TSA and tDMAS precursor.

[0077] The process parameters that produced the highest density and lowest hydrogen content film shown in Figure 1 using the TSA-tDMAS mixture were frie following: TSA flow ( 150 mgm), tDMAS flow (250 mgm), H 2 flow (300 seem), He (1000 seem), Pressure (4 torr), RF (600 W), LF (0 W), and temperature (300°C). Density and H- content fom the SiCNH films under these conditions were 1.9 g/cm 3 and 3.7x10 22 cm 3 respectively. Referring to Figure 1 , the TSA-tDMAS deposited films had lower density and higher hydrogen content metal oxide layer compared to the TSA deposited films and the tDMAS-H 2 deposited films. Further, while the tDMAS-NH 3 films had higher densities, their hydrogen content was also relatively higher.

Comparative Example 6: Deposition of Silicon-Containing Films Using Tri- Dimethylaminosilane (tDMAS) and Ammonia as Diluent

[0078] Silicon-containing films were deposited using a tri-dimethylaminosilane (tDMAS) as precursor and NH 3 as a diluent using the general deposition conditions described above. The films were analyzed by XPS and showed that they were comprised of

SixCyNa:Hz wherein the amount of silicon, carbon, nitrogen and hydrogen or x, y, a, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H-content relationship for these silicon-containing films deposited using the mixture of the tDMAS precursor and NH 3 as a diluent. Referring to Figure 1 , the tDMAS- NH 3 deposited films did not have the requisite density or hydrogen content to be an optimal passivation layer for a display device comprising a metal oxide layer compared to the TSA deposited films. Comparative Example 7: Deposition of Silicon-Containing Films Using Tri- Dimethylaminosilane (tDMAS) and Hydrogen as Diluent

[0079] Silicon-containing films were deposled using a mixture of tri- dimethylaminosilane (tDMAS) as precursor and H 2 as the diluents using the general deposition conditions described above. The films were analyzed by XPS and showed that they were comprised of SixCyNa:Hz wherein the amount of silicon, carbon, nitrogen and hydrogen or x, y, a, and z varied in atomic percentage depending upon the film. Figure 1 shows the density versus H-content relationship for these silicon-containing films deposited using the mixture of the tDMAS precursor and H 2 as a diluent Referring to Figure 1 , the tDMAS-H 2 deposited films did not have the requisite density or hydrogen content to be an optimal passivation layer for a display device comprising a metal oxide layer compared to the TSA deposited films. Further, the tDMAS-H 2 deposited films did not perform as well as the tDMAS-NH 3 deposited films.

Example 8: Comparison of Moisture Barrier Performance for Silicon Containing Layers Deposited using TEOS and TSA Passivation Layers

[0080] In order to evaluate the relative moisture barrier performance of the silicon- containing films deposited in the above examples, a test was developed to measure this property. In this test, a less dense silicon dioxide (Si0 2 ) layer is first deposited using TEOS at 250°C onto a silicon wafer under process conditions which render the film sensitive to moisture. When such films are exposed to atmospheric moisture, or alternatively for this comparative test an accelerated test which uses an atmosphere of 85% humidity at 85°C, the film stress changes from tensile to compressive. In this example and in Figure 6, the dense TEOS and dense TSA films were compared to evaluate their relative moisture barrier performance. Both films were deposited on the less dense TEOS oxide film.

[0081] To measure barrier performance, a thin layer of an exemplary silicon-containing film is deposited on top of the moisture sensitive Si0 2 layer and the stress of the film stack is measured in intervals of exposure to the accelerated 85% humidity, 85 °C and then in ambient conditions (e.g., air). The wafers were placed in a 85% humidity and 85°C oven. The stress measurement was conducted in air. As shown in Figure 5, all of the films deposited from TSA and H 3 or wafers 6, 7, and 8, had a film density of 2.52 g/ cm 3 , and would provide the best passivation or barrier layer thereby not allowing any moisture into the underlying layer as evidenced by little if any change in the stress of the film stack.

[0082] Figure 4 provides a comparison of the stress measured in (MPa) and tine (hours) for 100 nm thick passivation layers comprised of the following: : (A) (shown as diamonds on Figure) trisilylamine and NH 3 (density = 2.36 g/cm 3 ); (B) (shown as triangles on Figure) di-isopropylaminosilane and NH 3 ; (C) (shown as squares on the Figure) dimethyldiethoxysilane and H 2 (density = 1.95 g/cm 3 ); and (D) (shown as circles on the Figure) trimethylsilane and NH 3 (density = 1.88 g/cm 3 ). The SiCN film, which was deposited from trimethylsilane and ammonia, shown as line (D) on the Figure, and has a density of 1.88 g/ cm 3 , did not exhibit a barrier performance as good as TSA as evidenced by a steep drop in the film stress (without a barrier in place the film stress drops from 250 to minus 100 MPa in the first 1 hour). The SiOC barrier film deposited from dimethyldiethyoxysilane and H 2 and has a density of 1.95 g/ cm 3 and shown as line (C) on the Figure falls between the TSA films and the DMDES films in terms of barrier performance. The SiCN films deposited from DIPAS and NH 3 exhibit barrier

performance similar to the film deposited from DMDES and H 2 and had a density of 2.11 g/ cm 3 . Additional test structures comprising a dual passivation layer structure comprising a silicon oxide layer deposited using TEOS and a silicon nitride layer deposited using TSA such as the structure shown in Figure 6. The thickness of the TEOS-deposited silicon oxide layer was 850 nm and the thickness of the TSA-deposited silicon nitride layer was either 50 nm or 100 nm. The deposition conditions for test wafer 3 which had a 50 nm TSA-deposited silicon nitride was a power of 800W, a pressure of 2 Torr the flow rate of TSA 100 (mg/min.), a flow rate of helium of 1 ,000 seem, a flow rate of ammonia of 100 seem, and a deposition temperature of 100°C. The density of the TSA layer for test structure 3 was 2.342 g/cm 3 All of the test structures 50 nm and 100 nm were deposited in the same manner and have the same density that are shown in Figure 10. The structures were subjected to accelerated weather testing which is indictative of hermeticity as described in this Example and the results are provided in Figure 10. Figure 10 shows that the test structures which had a thicker silicon nitride passivation layer or 100 nm layer were more stable and therefore had better hermeticity than structures having a thinner or 50 nm layer. Example 9: Evaluation of the Effect of Thickness of PECVD Silicon Oxide Atop In-Ga- Zn-0 (IGZO) Metal Oxide Film Wherein Silicon Oxide Layer Further Topped with Silicon Nitride Layer

[0083] Figure 6 provides an exemplary structure of a display device comprising a IGZO metal oxide layer 610, a plasma-enhanced chemical vapor deposition (PECVD) silicon oxide layer 630 deposited by tetraethoxysilane (TEOS), and a silicon nitride layer 640 deposited by TSA and NH 3 to provide a density silicon nitride a 2.36 g/cm 3 . The thickness of the silicon oxide layer 630 was varied from 0 to 250 nanometers (e.g., 15 nm, 60 nm, 115 nm, 185 nm, 200 nm, and 250 nm) to determine its impact on minority carrier lifetime. The thickness of the TSA silicon nitride layer was approximately 100 nm. The films were deposited according to the general deposition conditions described above and the following process conditions: (1) TEOS: Power=910 W, Pressure=8.2 Torr, TEOS flow=1000 mg/min, 0 2 flow=1000 seem, He flow=1000 seem; and (2) TSA:

Power=400 W, Pressure=4 Torr, TSA flow=200 mg/min, NH 3 flow=100 seem, He flow=1000 seem.

[0084] Figure 7 shows the impact of film thickness on the minority carrier lifetime in Float Zone high resistivity silicon (1000 Ω-cm) which was measured at two different levels of carrier injectors thereby producing the two lines of data shown in Figure 7 (e.g., 1.00 x 10 "15 and 5.00 x 10 "14 cm "3 ). At thin or no silicon oxide thicknesses, the hydrogen from the silicon nitride film diffuses to the surface of the silicon substrate and passivates surface defects thereby reducing ttie surface recombination velocity and thereby increases the minority carrier lifetimes. As the thickness of the silicon oxide layer is increased, hydrogen diffusion through the oxide film is reduced and the minority carrier lifetime is subsequently reduced. Figure 7 shows that the preferred thickness to minimize hydrogen diffusion through the silicon oxide film ranges from about 150 to about 200 nm because the curve on the figure is flat when the thickness is 150 nm or greater. This thickness is sufficient to prevent hydrogen diffusion through the film. Films that are too thick may not be desirable from the perspective of the end user.

Example 10: Comparison of Passivation Layer Structure on IGZO Resistivity

[0085] The ability of silicon oxide to serve as a barrier to hydrogen diffusion from silicon nitride was evaluated on a metal oxide or IGZO substrate by comparing various passivation layer structures as shown in Figures 8a through 8f. The layered structures consisted of depositing silicon nitride films on a silicon substrate followed by depositing silicon oxide followed by sputtering of approximately 50 nm of IGZO as described above in the General Deposition Conditions. The film stack was exposed to a thermal anneal of 350°C in an inert ambient for two hours as described herein. The subsequent resistivity of the film was measured to determine the degree to which the resistivity of the IGZO metal oxide was reduced. Table I provides the comparative data for the layered structures depicted in Figures 8a through 8e and are referred to as Examples 10a through 10f.

[0086] Example 10a: A Si wafer substrate (810) upon which a 100nm thermal oxide (820) was grown followed by sputtering 50 nm of amorphous IGZO (830) on its surface as depicted in Figure 8a and was annealed at 350°C for two hours in an inert (N 2 ) atmosphere. The post anneal resistance was measured and determined to be 1.1x10 5 Ω/α.

[0087] Example 10b: A Si wafer substrate (810) upon which a 200nm PECVD silicon oxide layer (822) was deposited using TEOS, followed by a 100nm PECVD silicon nitride layer from TSA precursor (825) and having a density of 2.52 g/cm 3 , followed by 50 nm of amorphous IGZO (830) sputtered on its surface as shown in Figure 8b and was annealed at 35CPC for two hours in an inert (N 2 ) atmosphere. The post anneal resistance was measured and determined to be 1.9x10 3 Ω/π.

[0088] Example 10c: A Si wafer substrate (810) upon which a 200nm PECVD silicon oxide layer (822) was deposited using TEOS, followed by a 100nm PECVD silicon nitride layer from TSA precursor (825) and having a density of 2.52 g/cm 3 , followed by a 100 nm of PECVD Silicon Oxide (828) layer deposited using TEOS precursor, followed by 50 nm of amorphous IGZO (830) sputtered on its surface as shown in Figure 8c and was annealed at 350°C for two hours in an inert (N 2 ) atmosphere. The post anneal resistance was measured and determined to be 3.9x10 3 ΩΙα.

[0089] Example 10d: A Si wafer substrate (810) upon which a 200nm PECVD silicon oxide buffer was deposited (822), followed by a 100nm PECVD silicon nitride layer from TSA precursor (825) and having a densiV of 2.52 g/cm 3 , followed by a 200 nm of PECVD Silicon Oxide (828) layer deposited using TEOS precursor, and followed by 50 nm of amorphous IGZO (830) sputtered on its surface as shown in Figure 8c and was annealed at 35CPC for two hours in an inert (N 2 ) atmosphere. The post anneal resistance was measured and determined to be 1.1x10 4 Ω/cm. Example 10d differs from Example 10c in that the PECVD silicon oxide layer 828 is twice as thick or 200 nm as comparable layer 828 in Example 10c.

[0090] Example 10e: A Si wafer substrate (810) upon which a 200nm PECVD silicon oxide layer (822) was deposited using TEOS at 400°C, followed by a 200 nm of PECVD TEOS based Silicon Oxide (828), followed by 50 nm of amorphous IGZO sputtered (830) on its surface as shown in Figure 8d and was annealed at 350°C for two hours in an inert (N 2 ) atmosphere. The post anneal resistance was measured and determined to be 1.0χ10 4 Ω/π.

[0091] Example 10f: A Si wafer substrate (810) upon which a 200nm PECVD silicon oxide layer (822) was deposited, followed by a 100nm silane based PECVD silicon nitride layer (840), followed by a 200 nm of PECVD silane based Silicon Oxide (848), followed by 50 nm of amorphous IGZO sputtered (830) on its surface as shown in Figure 8e and was annealed at 350°C for two hours in an inert (N 2 ) atmosphere. The post anneal resistance was measured and determined to be 3.9x10 3 Ω/π.

[0092] Referring to Table I, example 10a, or the structure shown in Figure 8a, shows a thermal silicon oxide alone and represents the case of the highest purity silicon oxide containing an Oxygen to Silicon ratio of close to 2.0 or a fully stoichiometric Si0 2 film. This oxide had the lowest impact on resistivity of the IGZO film. Structures having no silicon oxide passivation layers, or Example 10b (structure depicted in Figure 8b), was found to have the greatest reduction in IGZO sheet resistance from 1.1 x 10 s to 1.9 x 10 3 Ω/ο. Films with 100 or 200 nm silicon oxide thicknesses or Examples 10c and 10d showed reduced reduction in IGZO resistivity. The film with PECVD silicon oxide and no silicon nitride passivation layer or Example 10e (structure depicted in Figure 8d) showed a comparable reduction in resistivity to the 200nm silicon oxide/silicon nitride film stack, suggesting an effective barrier to hydrogen diffusion but also indicating some contribution to the reduction in IGZO resistance from the PECVD silicon oxide film relative to the thermal oxide. Table 1 also provides the results obtained which used both silane gas as precursor for both silicon oxide and nitride in Example 10f (structure depicted in Figure 8e), which is the current industry standard and is used, for example, in the Liu et al reference described herein. Example 10f shows that the selection of the passivation layer(s) is important because the metal oxide does not remain in a semiconductive state (e.g., having a resistance measurement between1x10 4 and 1x10 5 (Ω/ο)) to be effective as a display device. Results indicated less impact on IGZO resistance for an optimized organosilane based oxide relative to a silane based oxide at 300°C.

Table I: Im act of Film Stack Com osition on IGZO Sheet Resistance.

Example 11 : Deposition of Thin Si0 2 Films Using Triethylsilane (3ES)with high density

[0093] Process conditions for the 3ES silicon oxide films were screened using a design of experiment (DOE) methodology summarized below: precursor flow from 100 to 800 seem; 0 2 /He flow from 20 to 100 seem, pressure from 0.75 to 10 torr; RF power (13.56 MHz) 0.5-3 W/cm 2 ; Low-frequency (LF) power 0 to 100 W; and deposition temperature ranged from 100°C to 150°C. The DOE experiments were used to determine what process parameters produced the optimal film for use as a gate insulating layer in a display device.

[0094] Si0 2 films were deposited using the precursor 3ES at lower deposition temperatures, such as 100°C, 125°C and 150°C then described above. By optimizing the process parameters, such as precursor flow, chamber pressure and power density, etc., high density and thin Si0 2 films were obtained. Table II shows a summary of the three process conditions used for 3ES film deposited at different temperatures 100°C, 125°C and 150°C, as well as certain film properties, such as thickness, k value and density which were measured using the methods described herein in the general deposition conditions. In general, the films deposited using 3ES had a thickness less than 200 nm, a k value between 4 to 5, and a density of 2.2 g/cm 3 or greater. This example shows that 3ES is a suitable precursor candidate to provide a high density silicon oxide layer which can be used, for example, as an additional passivation layer along with a TSA- deposited silicon nitride passivation layer such as those embodiments illustrated, for example, in Figure 9b.

Table II: Summary of process conditions used for 3ES film deposited at different temperatures 100°C, 125°C and 150°C and the film properties

Example 12: Deposition of Thin Si0 2 Films Using Diethylsilane (2ES) with high density

[0095] Process conditions for the 2ES silicon oxide films were screened at temperatures below 200°C using a design of experiment (DOE) methodology summarized below: typical precursor flow rates were 25 to 150 seems, plasma power density was 0.5 - 3 W/cm 2 , and pressure was 0.75 - 12 torr.

[0096] The Si0 2 films were also deposited at a deposition temperature of 100°C using 2ES. By optimizing the process parameters, such as precursor flow, chamber pressure and power density, and other process conditions, high density and thin Si0 2 films were obtained. Table III shows a summary of the process conditions used for 2ES film deposited at 100°C as well as the certain film properties, such as thickness, k value and density which were obtained using the methods described herein. The film had a thickness less than 200 nm and a density higher than 22g/ cm 3 . This example shows that 2ES is a suitable precursor candidate to provide a high density silicon oxide layer which can be used, for example, as an additional passivation layer along with a TSA- deposited silicon nitride passivation layer such as those embodiments illustrated, for example, in Figure 9b.

Table III: Summary of process conditions used for 2ES-deposited Si02 film at 100°C and film properties.

Process conditions 2ES 100°C

Example 13: Deposition of Thin Si0 2 Films Using 3ES at 100°C with high density

[0097] The present example is used to show the deposition of thin and high density Si0 2 film using 3ES provides a wide process window. Table IV provides the process conditions for two 3ES deposited, Si0 2 films and film properties at different precursor flow, 29 seem and 68 seem. Although the table shows a wide range of deposition rates, high density films were obtained. This example shows that 3ES is a suitable precursor candidate to provide a high density silicon oxide layer which can be used, for example, as an additional passivation layer along with a TSA-deposited silicon nitride passivation layer such as those embodiments illustrated, for example, in Figure 9b.

Table IV: Summary of Process Conditions for 100°C 3ES Depositions

Example 14: Compositional Data of Thin Si0 2 Films Deposited Using 3ES at 100°C and 150°C

[0098] XPS was used to exam the carbon concentration in the film. The relative atomic percentage is measured at the surface and after 50nm sputtering. Table V shows the process conditions and film properties of two 3ES films deposited at 100°C and 150°C. Table VI provides the XPS data of the films. No carbon was detected in the bulk film and the O/Si ratio of the film was very close to 2.0 or stoichiometric. This example shows that 3ES is a suitable precursor candidate to provide a high density silicon oxide layer which can be used, for example, as an additional passivation layer along with a TSA-deposited silicon nitride passivation layer such as those embodiments illustrated, for example, in Figure 9b.

Table V: Summary of process conditions and film properties of 3ES films.

Table VI: XPS data of 3ES films deposited Using Table 5 Process Conditions.

Example 15: Deposition of Diethylsilane (2ES) at Deposition Temperatures of 250°C and 350°C

[0099] Silicon oxide films were deposited from the silicon precursor 2ES and 3ES Si0 2 films were deposited at different temperature and process conditions using the general deposition conditions described above and the following process conditions: precursor flow of 107 seem; helium carrier gas flow of 1000 seem; oxygen (02) gas flow of 1100 seem, pressure of 8.2 torr; spacing of 500 mils, and power density of W/cm 2 .

[00100] The H-content in atomic % and measured by RBS for the DES deposited films which were deposited at a above process conditions at deposition temperature of 350°C and 250°C were 2.0% (density of 2.25 g/cm 3 ) and 2.8% (density of 2.26 g/cm 3 ), respectively. This shows that both DES deposited films had very low total hydrogen content (< 5%) as measured by RBS/HFS. This is also confirmed by a FTIR analysis of these films which showed no detectible Si-H and very minimal Si-OH bonding. This example shows that 2ES is a suitable precursor candidate to provide a high density and low hydrogen content silicon oxide layer which can be used, for example, as an additional passivation layer along with a TSA-deposited silicon nitride passivation layer such as those embodiments illustrated, for example, in Figure 9b.

[00101] The examples and embodiments described herein, are exemplary of numerous embodiments that may be made. It is contemplated that numerous materials other than those specifically disclosed may be made. Numerous other configurations of the process may also be used, and the materials used in the process may be elected from numerous materials other than those specifically disclosed.