Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
BILAYER SELECTOR FOR LOW VOLTAGE BIPOLAR MEMORY DEVICES
Document Type and Number:
WIPO Patent Application WO/2019/022732
Kind Code:
A1
Abstract:
Embedded non-volatile memory structures having bilayer selector elements are described. In an example, a memory device includes a wordline. A bilayer selector element is above the wordline. The bilayer selector element includes a ferroelectric oxide material layer. A bipolar memory element is above the wordline. A conductive electrode is between the bilayer selector element and the bipolar memory element. A bitline is above the wordline.

Inventors:
MAJHI PRASHANT (US)
DOYLE BRIAN S (US)
KARPOV ELIJAH V (US)
SHARMA ABHISHEK A (US)
O'BRIEN KEVIN P (US)
OGUZ KAAN (US)
Application Number:
PCT/US2017/043982
Publication Date:
January 31, 2019
Filing Date:
July 26, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INTEL CORP (US)
MAJHI PRASHANT (US)
DOYLE BRIAN S (US)
KARPOV ELIJAH V (US)
SHARMA ABHISHEK A (US)
OBRIEN KEVIN P (US)
OGUZ KAAN (US)
International Classes:
G11C13/00; H01L27/22; H01L43/08
Foreign References:
US20150263069A12015-09-17
US20160049582A12016-02-18
US20160043142A12016-02-11
US20170117027A12017-04-27
US20150179933A12015-06-25
Attorney, Agent or Firm:
BRASK, Justin, K. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A memory device, comprising:

a wordline;

a bilayer selector element above the wordline, the bilayer selector element comprising a ferroelectric oxide material layer;

a bipolar memory element above the wordline;

a conductive electrode between the bilayer selector element and the bipolar memory element; and

a bitline above the wordline.

2. The memory device of claim 1, wherein the bilayer selector element further comprises an insulator metal transition material layer.

3. The memory device of claim 2, wherein the insulator metal transition material layer is selected from the group consisting of a vanadium oxide material and a niobium oxide material.

4. The memory device of claim 2, wherein the conductive electrode is on the ferroelectric oxide material layer, and the ferroelectric oxide material layer is on the insulator metal transition material layer.

5. The memory device of claim 2, wherein the conductive electrode is on the insulator metal transition material layer, and the insulator metal transition material layer is on the ferroelectric oxide material layer.

6. The memory device of claim 1, wherein the bilayer selector element further comprises a semiconducting oxide material layer. 7. The memory device of claim 6, wherein the semiconducting oxide material layer comprises indium gallium zinc oxide (IGZO).

8. The memory device of claim 6, wherein the conductive electrode is on the ferroelectric oxide material layer, and the ferroelectric oxide material layer is on the semiconducting oxide material layer.

9. The memory device of claim 6, wherein the conductive electrode is on the semiconducting oxide material layer, and the semiconducting oxide material layer is on the ferroelectric oxide material layer. 10. The memory device of claim 1, wherein the ferroelectric oxide material layer comprises hafnium and oxygen.

11. The memory device of claim 1, wherein the ferroelectric oxide material layer is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).

12. The memory device of claim 1, wherein selector element has a threshold voltage less than or equal to IV. 13. The memory device of claim 1, wherein the selector element is above the bipolar memory element.

14. The memory device of claim 1, wherein the selector element is below the bipolar memory element.

15. The memory device of claim 1, wherein the bipolar memory element comprises a resistive random access memory (RRAM) device.

16. The memory device of claim 1, wherein the bipolar memory element comprises a magnetic tunnel junction (MT J) device.

17. A memory structure, comprising:

a first bitline above a substrate;

a first memory cell on the first bitline, the first memory device comprising:

a first bilayer selector element above the first bitline, the first bilayer selector element comprising a ferroelectric oxide material layer;

a first bipolar memory element above the first bitline;

a first conductive electrode between the first bilayer selector element and the first bipolar memory element;

a wordline on the first memory device;

a second memory device on the wordline, the second memory device comprising: a second bilayer selector element above the wordline, the second bilayer selector element comprising a ferroelectric oxide material layer;

a second bipolar memory element above the wordline;

a second conductive electrode between the second bilayer selector element and the second bipolar memory element; and

a second bitline on the second memory device.

18. The memory structure of claim 17, wherein the first bilayer selector element further comprises an insulator metal transition material layer, and wherein the second bilayer selector element further comprises an insulator metal transition material layer.

19. The memory structure of claim 17, wherein the first bilayer selector element further comprises a semiconducting oxide material layer, and wherein the second bilayer selector element further comprises a semiconducting oxide material layer.

20. The memory structure of claim 17, wherein the first selector element is above the first bipolar memory element, and the second selector element is below the second bipolar memory element. 21. The memory structure of claim 17, wherein the first selector element is below the first bipolar memory element and the second selector element is above the second bipolar memory element.

22. The memory structure of claim 17, wherein the first bipolar memory and the second bipolar memory element each comprise a resistive random access memory (RRAM) device, or each comprise a magnetic tunnel junction (MTJ) device.

23. A method of fabricating a memory device, the method comprising:

forming a bitline in a first dielectric layer above a substrate;

forming a bipolar memory material layer stack above the bitline;

forming a conductive electrode layer above the bipolar memory material layer stack; forming a bilayer selector material stack on the conductive electrode layer, the bilayer selector material stack comprising a ferroelectric oxide material layer;

forming a hardmask layer above the bilayer selector material stack;

patterning the hardmask layer to form a hardmask;

forming a bilayer selector element by using the patterned hardmask to pattern the bilayer selector material stack;

patterning the conductive electrode layer to form a conductive electrode;

patterning the bipolar memory material layer stack using the hardmask;

forming a second dielectric layer on the hardmask, on sidewalls of the bilayer selector element and on sidewalls of the bipolar memory element;

planarizing the second dielectric layer to expose an uppermost surface of the bipolar memory element; and

forming a wordline on the uppermost surface of the bipolar memory element and on an uppermost surface of the second dielectric layer.

24. The method of claim 23, wherein forming the bipolar memory material layer stack comprises forming a material layer stack for a resistive random access memory device.

25. The method of claim 23, wherein forming the bipolar memory material layer stack comprises forming a material layer stack for a magnetic tunnel junction device.

Description:
BILAYER SELECTOR FOR LOW VOLTAGE BIPOLAR MEMORY DEVICES

TECHNICAL FIELD

Embodiments of the disclosure are in the field of integrated circuit structures and, in particular, bilayer selectors for low voltage bipolar memory devices.

BACKGROUND

For the past several decades, the scaling of features in integrated circuits has been a driving force behind an ever-growing semiconductor industry. Scaling to smaller and smaller features enables increased densities of functional units on the limited real estate of

semiconductor chips. For example, shrinking transistor size allows for the incorporation of an increased number of memory devices on a chip, lending to the fabrication of products with increased functionality. The drive for ever-more functionality, however, is not without issue. It has become increasingly significant to rely heavily on innovative fabrication techniques to meet the exceedingly tight tolerance requirements imposed by scaling.

Embedded memory with non-volatile memory devices, e.g., on-chip embedded memory with non-volatility can enable energy and computational efficiency. A non-volatile memory device such as magnetic tunnel junction (MTJ) memory device or resistive random access memory (RRAM) device is coupled with selector element to form a memory cell. A large collection of memory cells forms a key component of non-volatile embedded memory.

However, with scaling of memory devices, the technical challenges of assembling a vast number of memory cells presents formidable roadblocks to commercialization of this technology today. BRIEF DESCRIPTION OF THE DRAWINGS

Figure 1 illustrates a cross-sectional view of a monolayer selector element including a material having a field-induced insulator metal transition, and a corresponding I-V plot.

Figure 2 illustrates a cross-sectional view of a monolayer selector element including a semiconducting oxide material, and a corresponding I-V plot.

Figure 3 illustrates a cross-sectional view of a bilayer selector element including, and a corresponding I-V plot, in accordance with an embodiment of the present disclosure.

Figure 4A illustrates a cross-sectional illustration of a memory cell disposed above a substrate, in accordance with an embodiment of the present disclosure.

Figure 4B illustrates a plan view of the memory cell of Figure 4A.

Figure 4C illustrates a cross-sectional illustration of a memory cell, in accordance with an embodiment of the present disclosure.

Figure 4D illustrates a cross-sectional view of a memory cell that includes a bipolar memory element such as a resistive random access memory (RRAM) device disposed above the conductive electrode, in accordance with an embodiment of the present disclosure.

Figure 4E illustrates a cross-sectional view of a memory cell that includes a bipolar memory element such as a magnetic tunnel junction (MTJ) memory device disposed above a conductive electrode, in accordance with an embodiment of the present disclosure.

Figure 5A illustrates a cross-sectional view of stacked memory cells that share a common wordline, in accordance with an embodiment of the present disclosure.

Figure 5B illustrates a cross-sectional view of stacked memory cells where the first selector element of the first memory cell shares a common wordline with the second selector element of a second memory cell, in accordance with an embodiment of the present disclosure.

Figure 5C illustrates a cross-sectional view of stacked memory cells where the first memory element of the first memory cell shares a common wordline with the second memory element of a second memory cell, in accordance with an embodiment of the present disclosure.

Figure 5D illustrates a cross-sectional view of stacked memory cells that share a common wordline, in accordance with an embodiment of the present disclosure.

Figure 5E illustrates a plan view of the memory cell along the direction A-A' in Figure

5A.

Figure 5F illustrates a plan view of the memory cell, along the direction B-B' in Figure

5A.

Figures 6A-6F illustrate cross-sectional views representing various operations in a method of fabricating a memory cell, where:

Figure 6A illustrates a wordline formed in an opening in a dielectric layer formed above a substrate.

Figure 6B illustrates the structure of Figure 6A following the formation of a selector material layer stack, a conductive electrode layer and a bipolar memory material layer stack.

Figure 6C illustrates the structure of Figure 6B following the patterning of the bipolar memory material layer stack and the conductive electrode layer.

Figure 6D illustrates the structure of Figure 6C following the patterning of the selector material layer stack.

Figure 6E illustrates the structure of Figure 6D following the formation of a second dielectric layer on the wordline and on the dielectric layer and on the active memory device.

Figure 6F illustrates a bit line formed on the uppermost surface of the memory element and on the uppermost surface of the second dielectric layer to complete formation of a memory cell.

Figures 7A and 7B are top views of a wafer and dies that include one or more embedded non-volatile memory structures having a bilayer selector, in accordance with one or more of the embodiments disclosed herein. Figure 8 illustrates a block diagram of an electronic system, in accordance with an embodiment of the present disclosure.

Figure 9 is a cross-sectional side view of an integrated circuit (IC) device assembly that may include one or more embedded non-volatile memory structures having a bilayer selector, in accordance with one or more of the embodiments disclosed herein.

Figure 10 illustrates a computing device in accordance with one implementation of an embodiment of the disclosure.

DESCRIPTION OF THE EMBODIMENTS

Bilayer selectors for low voltage bipolar memory devices are described. In the following description, numerous specific details are set forth, such as specific material and structural regimes, in order to provide a thorough understanding of embodiments of the present disclosure. It will be apparent to one skilled in the art that embodiments of the present disclosure may be practiced without these specific details. In other instances, well-known features, such as single or dual damascene processing, are not described in detail in order to not unnecessarily obscure embodiments of the present disclosure. Furthermore, it is to be understood that the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale. In some cases, various operations will be described as multiple discrete operations, in turn, in a manner that is most helpful in understanding the present disclosure, however, the order of description should not be construed to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation.

Certain terminology may also be used in the following description for the purpose of reference only, and thus are not intended to be limiting. For example, terms such as "upper", "lower", "above", "below," "bottom," and "top" refer to directions in the drawings to which reference is made. Terms such as "front", "back", "rear", and "side" describe the orientation and/or location of portions of the component within a consistent but arbitrary frame of reference which is made clear by reference to the text and the associated drawings describing the component under discussion. Such terminology may include the words specifically mentioned above, derivatives thereof, and words of similar import.

Embodiments described herein may be directed to front-end-of-line (FEOL)

semiconductor processing and structures. FEOL is the first portion of integrated circuit (IC) fabrication where the individual devices (e.g., transistors, capacitors, resistors, etc.) are patterned in the semiconductor substrate or layer. FEOL generally covers everything up to (but not including) the deposition of metal interconnect layers. Following the last FEOL operation, the result is typically a wafer with isolated transistors (e.g., without any wires).

Embodiments described herein may be directed to back end of line (BEOL) semiconductor processing and structures. BEOL is the second portion of IC fabrication where the individual devices (e.g., transistors, capacitors, resistors, etc.) are interconnected with wiring on the wafer, e.g., the metallization layer or layers. BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections. In the BEOL part of the fabrication stage contacts (pads), interconnect wires, vias and dielectric structures are formed. For modern IC processes, more than 10 metal layers may be added in the BEOL.

Embodiments described below may be applicable to FEOL processing and structures, BEOL processing and structures, or both FEOL and BEOL processing and structures. In particular, although an exemplary processing scheme may be illustrated using a FEOL processing scenario, such approaches may also be applicable to BEOL processing. Likewise, although an exemplary processing scheme may be illustrated using a BEOL processing scenario, such approaches may also be applicable to FEOL processing.

One or more embodiments described herein are directed to ferroelectric based hetero- structure material stacks for use as a selector for a non-volatile memory device. Embodiments may pertain to or include three-dimensional (3D) cross-point arrays, embedded non-volatile memory (eNVM), and selectors for eNVM. Approaches described herein may be implemented to realize high performance highly scaled eNVM cells, and potentially increase monolithic integration of eNVM in system-on-chips (SoCs) of future technology nodes.

To provide context, non-volatile memory devices such as a magnetic tunnel junction (MTJ) memory device or a resistive random access memory (RRAM) device depend on a phenomenon of resistance switching to store information. The non-volatile memory device functions as a variable resistor where the resistance of the device may switch between a high resistance state and a low resistance state. A non-volatile memory device may be coupled with a selector element to form a memory cell. The selector may be a volatile switching element that is placed in series with the non-volatile memory device. A large collection of such memory cells forms a key component of non-volatile embedded memory. Operating a memory cell including a selector and a non-volatile memory element may require sufficiently low threshold selector turn-on voltages (e.g. less than or equal to IV) in order to minimize power consumption.

In accordance with one or more embodiments of the present disclosure, a bilayer selector element is used together with a memory element. The bilayer selector element includes a ferroelectric oxide material layer. In an embodiment, a bipolar memory element is coupled to the bilayer selector element. In an embodiment, the bipolar memory element includes a nonvolatile memory device such as a magnetic tunnel junction (MTJ) memory device or a resistive random access memory (RRAM) device. The bipolar memory element may be designed to operate at sufficiently low voltages such as IV or less. In an embodiment, the total voltage requirement for operation of the memory cell including the bilayer selector element and the non- volatile memory element is less than 2V. In an embodiment, a conductive electrode is disposed between the selector element and the bipolar memory element. The memory cell further includes a bitline disposed above the selector element. In an embodiment, a large collection of memory cells each including a bilayer selector element and a bipolar memory element are utilized to form a non-volatile memory array. The non-volatile memory array formed by a memory cell at each intersection of a wordline and a bitline is, herein, referred to as a non-volatile cross-point memory array. A non-volatile cross-point memory array can offer significant advantages for scaling to achieve high density memory.

Embodiments described herein may be implemented to fabricate two-terminal selectors for three dimensional (3D) cross-point memory, targeting embedded non-volatile memory applications. It is to be appreciated that state-of-the-art selectors based on insulator metal transition (IMT) or semi-conducting oxides tend to suffer from sub-optimal selector properties. More specifically, the non-linearity of such materials used on their own is sufficiently high to provide a high inhibit ratio for large arrays. Furthermore, the associated critical current (e.g., the current at insulating to metal phase transition) is typically too high.

As a first example of a state-of-the-art selector stack, Figure 1 illustrates a cross-sectional view of a monolayer selector element including a material having a field-induced insulator metal transition, and a corresponding I-V plot.

Referring to Figure 1, a selector element 100 includes an insulator metal transition (IMT) material layer 102 between a bottom electrode 104 and a top electrode 106. The IV curve 110 of the selector element 100 in operation has a range 112 of non-linearity (inhibit ratio) that is limited, and the corresponding Ic 114 is high.

As a second example of a state-of-the-art selector stack, Figure 2 illustrates a cross- sectional view of a monolayer selector element including a semiconducting oxide material, and a corresponding I-V plot.

Referring to Figure 2, a selector element 200 includes a semiconducting oxide material layer 202 between a bottom electrode 204 and a top electrode 206. The IV curve 210 of the selector element 200 in operation has a range 212 of non-linearity (inhibit ratio) that is limited, and the corresponding Ic 214 is high.

In contrast to Figures 1 and 2, Figure 3 illustrates a cross-sectional view of a bilayer selector element including, and a corresponding I-V plot, in accordance with an embodiment of the present disclosure.

Referring to Figure 3, a selector element 300 includes a bilayer 302 including a first material layer 302A and a second material layer 302B. The bilayer 302 is between a bottom electrode 304 and a top electrode 306. One of the first or second material layers 302A or 302B is a ferroelectric oxide material layer. In one embodiment, the other of the first or second material layers 302A or 302B is an IMT material or a semiconducting material layer. The IV curve 310 of the selector element 300 in operation has a wide range 312 of non-linearity (inhibit ratio), and the corresponding Ic 314 is low.

Referring collectively to Figures 1-3, Figures 1 and 2 demonstrate the schematics of a selector stack as well as the typically observed current-voltage response, highlighting a critical challenge for implementation across an entire array. Figure 3 demonstrates the effect of engineering the selector stack to circumvent the such challenges. More specifically, in an embodiment, by integrating specific ferroelectric thin films in the stack, optimal selector properties are realized by utilizing the polarization charge induced internal field, e.g., to accelerate insulator to metal transition. The result is a reduced critical current and a significantly increased non-linearity of the selector. A bilayer selector stack, such as stack 302 may provide one or more of the following advantages: (1) reduced inhibit currents including critical current (allows for large operating windows and low leakage), (2) increased non-linearity (allows for large array with large operating window in both read and program schemes), (3) low voltage due to internal field from polarization charge (allows for low operating voltage/power, especially for embedded NVM, and/or (4) the ability to engineer threshold voltage (Vt) tunability using the ferroelectric in conjunction with a switching material to provide improved performance.

In an exemplary implementation, Figure 4A illustrates a cross-sectional illustration of a memory cell 400 disposed above a substrate 450. The memory cell 400 includes a wordline 402 disposed above the substrate. In an embodiment, a bilayer selector element 404 is disposed above the wordline. In an embodiment, a conductive electrode 406 is disposed on the bilayer selector element 404. In an embodiment, a bipolar memory element 408 is disposed above the conductive electrode 406, and a bit line 410 is disposed above the bipolar memory element 408.

In an embodiment, the bilayer selector element includes first 402A and second 402B material layers. One of the first 402A and second 402B material layers is a ferroelectric oxide material layer. The other of the first 402A and second 402B material layers is an insulator metal transition material layer or a semiconducting oxide layer.

In an embodiment, the ferroelectric oxide material layer is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT). In another embodiment, the ferroelectric oxide material includes hafnium and oxygen. In one such embodiment, the ferroelectric oxide material layer is a hafnium oxide material having a structural geometry that provides for a switchable polarization direction. In another embodiment, the ferroelectric oxide material is a hafnium zirconium oxide material.

In an embodiment, the insulator metal transition material layer is selected from the group consisting of a vanadium oxide material and a niobium oxide material. In an embodiment, the insulator metal transition material layer is a single crystalline material. In another embodiment, the insulator metal transition material layer is an amorphous or a poly crystalline material.

In an embodiment, the semiconducting oxide material layer is one such as, but not limited to, indium gallium zirconium oxide (IGZO), tin oxide, antimony oxide, indium oxide, indium tin oxide, titanium oxide, zinc oxide, indium zinc oxide, gallium oxide, titanium oxynitride, ruthenium oxide, or tungsten oxide. In one embodiment, an IGZO layer is used and has a gallium to indium ratio of 1 : 1, a gallium to indium ratio greater than 1 (e.g., 2: 1, 3: 1 , 4: 1, 5: 1 , 6: 1, 7: 1 , 8: 1, 9: 1 , or 10: 1), or a gallium to indium ratio less than 1 (e.g., 1 :2, 1 :3, 1 :4, 1 :5, 1 :6, 1 :7, 1 :8, 1 :9, or 1 : 10). A low indium content IGZO may refer to IGZO having more gallium than indium (e.g., with a gallium to indium ratio greater than 1 : 1), and may also be referred to as high gallium content IGZO. Similarly, low gallium content IGZO may refer to IGZO having more indium than gallium (e.g., with a gallium to indium ratio less than 1 : 1), and may also be referred to as high indium content IGZO.

In an embodiment, the conductive electrode 406 is disposed on the bilayer selector element 404. In an embodiment, the conductive electrode 406 includes a material selected from the group consisting of WN, TiN, TaN, W, Ti, Ta and Ru. In an embodiment, the conductive electrode 406 has a thickness between 5 nanometers and 10 nanometers.

In an embodiment, the memory device 408 includes a magnetic tunnel junction (MTJ) memory device as will be described in greater detail below in association with Figure 4D. In an embodiment, the memory device 408 includes a resistive random access memory (RRAM) device as will be described in greater detail below in association with Figure 4E.

Figure 4B illustrates a plan view of the memory cell 400. In an embodiment, the wordline 402 and the bit line 410 are arranged in an orthogonal manner. An outline 412 of the bilayer selector element 404, conductive electrode 406 and bipolar memory element 408, relative to the bitline 410 and the wordline 102 is also illustrated in Figure 4B. In an embodiment, the bilayer selector element 404, the conductive electrode 406 and the bipolar memory element 408 are spatially confined to an intersection between the wordline 402 and the bitline 408, which may be referred to as a cross point memory cell.

Figure 4C illustrates a cross-sectional illustration of a memory cell 400C where the bipolar memory element 408 is disposed on the wordline 402, a conductive electrode 406 is disposed on the bipolar memory element 408 and a bilayer selector element 404 is disposed above the conductive electrode 406.

Figure 4D illustrates a cross-sectional view of a memory cell 400D that includes a bipolar memory element such as a resistive random access memory (RRAM) device 420 disposed above the conductive electrode 406. In an embodiment, the RRAM device 420 includes a bottom electrode 422, a switching layer 424 including a metal oxide disposed on the bottom electrode 422, an oxygen exchange layer 426 disposed on the switching layer 424, and a top electrode 430 disposed on the oxygen exchange layer 426.

Figure 4E illustrates a cross-sectional view of a memory cell 400E that includes a bipolar memory element such as a magnetic tunnel junction (MTJ) memory device 450 disposed above a conductive electrode 406. In an embodiment, the MTJ device 450 includes a bottom electrode 451 disposed above the conductive electrode 406, a fixed magnet 454 disposed above the bottom electrode 451 , a tunnel barrier 456 such as an MgO layer disposed on the fixed magnet 454, a free magnet 458 disposed on the tunnel barrier 456, and a top electrode 460 disposed on the free magnet 458.

In another example, Figure 5A illustrates a cross-sectional view of stacked memory cells

500 that shares a common wordline. In an embodiment, the stacked memory cells include a first bitline 502, a memory cell 504 on the first bitline 502. In an embodiment, the first memory cell 504 includes a first bilayer selector element 506 on the first bitline 506, a first conductive electrode 508 disposed on the first bilayer selector element 506 and a first bipolar memory element 510 disposed on the first conductive electrode 508. A wordline 512 is disposed on the first memory cell 504.

In an embodiment, a second memory cell 514 is disposed on the wordline 512. In an embodiment, the second memory cell 514 includes a second bilayer selector element 516 disposed on the wordline, a second conductive electrode 518 disposed on the second bilayer selector element 516 and a second bipolar memory element 520 disposed on the second conductive electrode 518. A second bitline 522 is disposed on the second memory cell 514.

In an embodiment, the first memory device 510 includes a magnetic tunnel junction (MTJ) memory device as described in association with Figure 4D. In an embodiment, the memory device 510 includes a resistive random access memory (RRAM) device as described in association with Figure 4E. In an embodiment, the second memory device 520 is substantially the same as the first memory device 510. In an embodiment, when the first memory device 510 includes a magnetic tunnel junction (MTJ) memory device, then the second memory device 520 also includes a magnetic tunnel junction (MTJ) memory device to ensure variation between the various memory cells are minimized during stacked memory cell operation. In an embodiment, when the first memory device 510 includes a resistive random access memory (RRAM) device, then the second memory device 520 also includes a resistive random access memory (RRAM) device.

Figure 5B illustrates a cross-sectional view of a stacked memory cell 500B where the first bilayer selector element 506 and the second bilayer selector element 516 share a common wordline. In an embodiment, a first memory cell 530 includes the first bipolar memory element 510 disposed on the first bitline 502, the first conductive electrode 508 disposed on the first bipolar memory element 510 and the first bilayer selector element 506 disposed on the first conductive electrode 508. The wordline 512 is disposed on the first memory cell 530. In an embodiment, the second memory cell 514 is disposed on the wordline 512. The second memory cell 514 includes the second selector cell 516.

Figure 5C illustrates a cross-sectional view of stacked memory cells 500C where the first memory element 510 of the first memory cell 504, shares a common wordline with the second memory element 520 of a second memory cell 540. In an embodiment, the first memory cell 504 is disposed on the first bitline 502. The wordline 512 is disposed on the first memory cell 504. In an embodiment, the second memory cell 540 includes the second memory element 520 disposed on the wordline 512, the second conductive electrode 518 disposed on the second memory element 520, and the second bilayer selector element 516 disposed on the second conductive electrode 518.

Figure 5D illustrates a cross-sectional view of a stacked memory cell 500D where the second memory cell 540 is disposed above the first memory cell 530. In an embodiment, wordline 512 is disposed between the second memory cell 540 and the first memory cell 230.

Figure 5E illustrates a plan view of the memory cell 500A along the direction A-A' in Figure 5A. In an embodiment, the wordline 512 and the first bit line 502 are arranged in an orthogonal manner. An outline of the first memory cell 504 relative to the first bit line 502 and the wordline 512 is also illustrated in Figure 5B. In an embodiment, the first memory cell 504 is spatially confined to an intersection between the wordline 512 and the first bit line 502, which may be referred to as a first cross point memory cell.

Figure 5F illustrates a plan view of the memory cell 500 A, along the direction B-B' in Figure 5 A. In an embodiment, the wordline 512 and the second bit line 522 are arranged in an orthogonal manner. An outline of the second memory cell 514 relative to the second bit line 522 and the wordline 512 is also illustrated in Figure 5B. In an embodiment, the second memory cell 504 is spatially confined to an intersection between the wordline 512 and the second bit line 522, and may be referred to as a second cross point memory cell.

Figures 6A-6F illustrate cross-sectional views representing various operations in a method of fabricating a memory cell.

Figure 6A illustrates a wordline 600 formed in an opening in a dielectric layer 601 formed above a substrate 602.

In an embodiment, the substrate 602 includes a suitable semiconductor material such as but not limited to, single crystal silicon, poly crystalline silicon and silicon on insulator (SOI). In another embodiment, substrate 602 includes other semiconductor materials such as germanium, silicon germanium or a suitable group III-N or a group III-V compound.

In an embodiment, the wordline 600 is formed in a dielectric layer 601 by a damascene or a dual damascene process that is well known in the art. In an embodiment, the wordline 600 includes a barrier layer, such as titanium nitride, ruthenium, tantalum, tantalum nitride, and a fill metal, such as copper, tungsten. In another embodiment, the wordline 600 includes a layer of a single material such as TiN or TaN. In an embodiment, the wordline 600 is fabricated using a subtractive etch process when materials other than copper are utilized. In one such embodiment, the wordline 600 includes a material such as but not limited to titanium nitride, ruthenium, tantalum, tantalum nitride. In an embodiment, the dielectric layer 601 includes a material such as but not limited to silicon dioxide, silicon nitride, silicon carbide, or carbon doped silicon oxide. In an embodiment, the dielectric layer 601 has an uppermost surface substantially co- planar with an uppermost surface of the wordline 600. In an embodiment, the dielectric layer 601 has a total thickness between 70nm-300nm. In an embodiment, wordline 600 is electrically connected to a circuit element such as an access transistor (not shown). Logic devices such as access transistors may be integrated with memory devices such as a MTJ device to form embedded memory.

Figure 6B illustrates the structure of Figure 6A following the formation of a selector bilayer material stack 609 on the wordline, formation of a conductive electrode layer 61 1 on the selector bilayer material stack 609, and formation of a bipolar memory material layer stack 613 on the conductive electrode layer 61 1.

In an embodiment, the selector bilayer material stack 609 is blanket deposited on the wordline 600 and on the dielectric layer 601 by an evaporation process, an atomic layer deposition (ALD) process or by chemical vapor deposition (CVD) process. In an embodiment, the chemical vapor deposition process is enhanced by plasma techniques such as RF glow discharge (plasma enhanced CVD) to increase the density and uniformity of the film. In an embodiment, the selector bilayer material stack 609 is formed by first forming a ferroelectric oxide material layer and then forming an IMT material layer. In another embodiment, the selector bilayer material stack 609 is formed by first forming a ferroelectric oxide material layer and then forming a semiconducting oxide material layer. In another embodiment, the selector bilayer material stack 609 is formed by first forming an IMT material layer and then forming a ferroelectric oxide material layer. In another embodiment, the selector bilayer material stack 609 is formed by first forming semiconducting oxide material layer and then forming a ferroelectric oxide material layer.

In an embodiment, the conductive electrode layer 611 is blanket deposited on the selector bilayer material stack 609. In an embodiment, the conductive electrode layer 61 1 is deposited by a PVD process. In an embodiment, the conductive electrode layer 611 is deposited to a thickness between 5nm-10nm.

In an embodiment, the memory material layer stack 613 is blanket deposited on the on the conductive electrode layer 611. In an embodiment, the bipolar memory material layer stack 613 includes at least three or more layers to fabricate a magnetic tunnel junction (MTJ) memory element. In one embodiment, the bipolar memory material layer stack for an MTJ memory element is deposited using a PVD process. In another embodiment, the bipolar memory material layer stack 613 includes at least three or more layers to fabricate a resistive random access memory (RRAM) memory element. In one embodiment, the bipolar memory material layer stack for an RRAM memory element is deposited using a PVD process.

In an embodiment, an uppermost layer of memory material layer stack 613 includes an uppermost electrode layer 613 A (contained within dashed lines) that ultimately acts as a hardmask for patterning the memory material layer stack 613 as well as the selector bilayer material stack 609. In an embodiment, the uppermost electrode layer has a thickness between 70nm-100nm.

In an embodiment, when the bipolar memory material layer stack 613 includes layers for an MTJ memory element the bipolar memory material layer stack 613 is subjected to an annealing process. In an embodiment, the annealing process is performed at a temperature between 300-400 degrees Celsius.

Figure 6C illustrates the structure of Figure 6B following the patterning of the bipolar memory material layer stack 613 and the conductive electrode layer 61 1. In an embodiment, a photoresist mask is formed on an uppermost surface of the bipolar memory material layer stack 613. In an embodiment, the photoresist mask defines a location where a memory cell will be subsequently formed. In an embodiment, a plasma etch process is utilized to pattern the bipolar memory material layer stack 613 and the conductive electrode layer 61 1 to form a memory element 614 and a conductive electrode 612. In an embodiment, the plasma etch also etches the uppermost electrode 613 A to form an uppermost electrode 614A.

Figure 6D illustrates the structure of Figure 6C following the patterning of selector bilayer material stack 609. In an embodiment, a plasma etch process is utilized to pattern the selector bilayer material stack 609 to form a bilayer selector element 610. In an embodiment, the plasma etch process erodes over 50% of the uppermost electrode 614A to form memory element 614, a conductive electrode 612 and bilayer selector element 610. The memory element 614, a conductive electrode 612 and bilayer selector element 610 are herein referred to as an active memory device 616.

Figure 6E illustrates the structure of Figure 6D following the formation of a second dielectric layer 618 on the wordline 600 and on the dielectric layer 601 and on the active memory device 616. In an embodiment, a second dielectric layer 618 is blanket deposited on the surface of the memory element 614, on sidewalls of the conductive electrode 612, on sidewalls of the bilayer selector element 610, on the wordline 600 and on the dielectric layer 601. In an embodiment, the dielectric layer 601 includes an insulating material such as but not limited to silicon oxide, silicon carbide, carbon doped nitride and silicon nitride. In an embodiment, the second dielectric layer 618 is planarized. In an embodiment, the planarization process removes a portion of the uppermost electrode 614A. In an embodiment, the planarization process forms a second dielectric layer 618 having an uppermost surface that is co-planar or substantially coplanar with an uppermost surface of the memory element 614.

Figure 6F illustrates a bit line 600 formed on the uppermost surface of the memory element 614 and on the uppermost surface of the second dielectric layer 618 to complete formation of a memory cell 670. In an embodiment, a layer of a conductive material is blanket deposited on the uppermost surface of the memory element 614 and on the uppermost surface of the second dielectric layer 618. The layer of a conductive material is then patterned to form a bitline 620. In an embodiment, the layer of a conductive material includes a material such as W, TiN, TaN or Ru. In an embodiment, the bit line 620 is formed by using a dual damascene process (not shown) and includes a barrier layer such as Ru, Ta or Ti and a fill metal such as W or Cu.

It is to be appreciated that the layers and materials described in association with embodiments herein are typically formed on or above an underlying semiconductor substrate, e.g., as FEOL layer(s). In other embodiments, the layers and materials described in association with embodiments herein are formed on or above underlying device layer(s) of an integrated circuit, e.g., as BEOL layer(s). In an embodiment, an underlying semiconductor substrate represents a general workpiece object used to manufacture integrated circuits. The

semiconductor substrate often includes a wafer or other piece of silicon or another

semiconductor material. Suitable semiconductor substrates include, but are not limited to, single crystal silicon, poly crystalline silicon and silicon on insulator (SOI), as well as similar substrates formed of other semiconductor materials. The semiconductor substrate, depending on the stage of manufacture, often includes transistors, integrated circuitry, and the like. The substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly found in semiconductor substrates. Furthermore, although not depicted, structures described herein may be fabricated on underlying lower level back end of line (BEOL) interconnect layers. For example, in one embodiment, an embedded non-volatile memory structure is formed on a material composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy -nitride, silicon nitride, or carbon-doped silicon nitride. In a particular embodiment, an embedded non-volatile memory structure is formed on a low-k dielectric layer of an underlying BEOL layer.

In an embodiment, interconnect lines (and, possibly, underlying via structures) described herein are composed of one or more metal or metal -containing conductive structures. The conductive interconnect lines are also sometimes referred to in the art as traces, wires, lines, metal, interconnect lines or simply interconnects. In a particular embodiment, each of the interconnect lines includes a barrier layer and a conductive fill material. In an embodiment, the barrier layer is composed of a metal nitride material, such as tantalum nitride or titanium nitride. In an embodiment, the conductive fill material is composed of a conductive material such as, but not limited to, Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au or alloys thereof.

Interconnect lines described herein may be fabricated as a grating structure, where the term "grating" is used herein to refer to a tight pitch grating structure. In one such embodiment, the tight pitch is not achievable directly through conventional lithography. For example, a pattern based on conventional lithography may first be formed, but the pitch may be halved by the use of spacer mask patterning, as is known in the art. Even further, the original pitch may be quartered by a second round of spacer mask patterning. Accordingly, the grating-like patterns described herein may have conductive lines spaced at a constant pitch and having a constant width. The pattern may be fabricated by a pitch halving or pitch quartering, or other pitch division, approach.

In an embodiment, ILD materials described herein are composed of or include a layer of a dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, oxides of silicon (e.g., silicon dioxide (SiC )), doped oxides of silicon, fluorinated oxides of silicon, carbon doped oxides of silicon, various low-k dielectric materials known in the arts, and combinations thereof. The interlayer dielectric material may be formed by

conventional techniques, such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or by other deposition methods.

In an embodiment, as is also used throughout the present description, patterning of trenches is achieved using lithographic operations performed using 193nm immersion lithography (il 93), extreme ultra-violet (EUV) and/or electron beam direct write (EBDW) lithography, or the like. A positive tone or a negative tone resist may be used. In one embodiment, a lithographic mask is a trilayer mask composed of a topographic masking portion, an anti-reflective coating (ARC) layer, and a photoresist layer. In a particular such embodiment, the topographic masking portion is a carbon hardmask (CHM) layer and the anti -reflective coating layer is a silicon ARC layer.

The integrated circuit structures described herein may be included in an electronic device. As an example of one such apparatus, Figures 7A and 7B are top views of a wafer and dies that include one or more embedded non-volatile memory structures having a bilayer selector, in accordance with one or more of the embodiments disclosed herein.

Referring to Figures 7A and 7B, a wafer 700 may be composed of semiconductor material and may include one or more dies 702 having integrated circuit (IC) structures formed on a surface of the wafer 700. Each of the dies 702 may be a repeating unit of a semiconductor product that includes any suitable IC (e.g., ICs including one or more embedded non-volatile memory structures having a bilayer selector, such as described above. After the fabrication of the semiconductor product is complete, the wafer 700 may undergo a singulation process in which each of the dies 702 is separated from one another to provide discrete "chips" of the semiconductor product. In particular, structures that include embedded non-volatile memory structures having a bilayer selector as disclosed herein may take the form of the wafer 700 (e.g., not singulated) or the form of the die 702 (e.g., singulated). The die 702 may include one or more embedded non-volatile memory structures based bilayer selectors and/or supporting circuitry to route electrical signals, as well as any other IC components. In some embodiments, the wafer 700 or the die 702 may include an additional memory device (e.g., a static random access memory (SRAM) device), a logic device (e.g., an AND, OR, NAND, or NOR gate), or any other suitable circuit element. Multiple ones of these devices may be combined on a single die 702. For example, a memory array formed by multiple memory devices may be formed on a same die 702 as a processing device or other logic that is configured to store information in the memory devices or execute instructions stored in the memory array.

Embodiments disclosed herein may be used to manufacture a wide variety of different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, micro-controllers, and the like. In other embodiments, semiconductor memory may be manufactured. Moreover, the integrated circuits or other microelectronic devices may be used in a wide variety of electronic devices known in the arts. For example, in computer systems (e.g., desktop, laptop, server), cellular phones, personal electronics, etc. The integrated circuits may be coupled with a bus and other components in the systems. For example, a processor may be coupled by one or more buses to a memory, a chipset, etc. Each of the processor, the memory, and the chipset, may potentially be manufactured using the approaches disclosed herein.

Figure 8 illustrates a block diagram of an electronic system 800, in accordance with an embodiment of the present disclosure. The electronic system 800 can correspond to, for example, a portable system, a computer system, a process control system, or any other system that utilizes a processor and an associated memory. The electronic system 800 may include a microprocessor 802 (having a processor 804 and control unit 806), a memory device 808, and an input/output device 810 (it is to be appreciated that the electronic system 800 may have a plurality of processors, control units, memory device units and/or input/output devices in various embodiments). In one embodiment, the electronic system 800 has a set of instructions that define operations which are to be performed on data by the processor 804, as well as, other transactions between the processor 804, the memory device 808, and the input/output device 810. The control unit 806 coordinates the operations of the processor 804, the memory device 808 and the input/output device 810 by cycling through a set of operations that cause instructions to be retrieved from the memory device 808 and executed. The memory device 808 can include a non-volatile memory cell as described in the present description. In an embodiment, the memory device 808 is embedded in the microprocessor 802, as depicted in Figure 8. In an embodiment, the processor 804, or another component of electronic system 800, includes one or more embedded non-volatile memory structures having a bilayer selector, such as those described herein.

Figure 9 is a cross-sectional side view of an integrated circuit (IC) device assembly that may include one or more embedded non-volatile memory structures having a bilayer selector, in accordance with one or more of the embodiments disclosed herein.

Referring to Figure 9, an IC device assembly 900 includes components having one or more integrated circuit structures described herein. The IC device assembly 900 includes a number of components disposed on a circuit board 902 (which may be, e.g., a motherboard). The IC device assembly 900 includes components disposed on a first face 940 of the circuit board 902 and an opposing second face 942 of the circuit board 902. Generally, components may be disposed on one or both faces 940 and 942. In particular, any suitable ones of the components of the IC device assembly 900 may include a number of embedded non-volatile memory structures having a bilayer selector, such as disclosed herein.

In some embodiments, the circuit board 902 may be a printed circuit board (PCB) including multiple metal layers separated from one another by layers of dielectric material and interconnected by electrically conductive vias. Any one or more of the metal layers may be formed in a desired circuit pattern to route electrical signals (optionally in conjunction with other metal layers) between the components coupled to the circuit board 902. In other embodiments, the circuit board 902 may be a non-PCB substrate.

The IC device assembly 900 illustrated in Figure 9 includes a package-on-interposer structure 936 coupled to the first face 940 of the circuit board 902 by coupling components 916. The coupling components 916 may electrically and mechanically couple the package-on- interposer structure 936 to the circuit board 902, and may include solder balls (as shown in Figure 9), male and female portions of a socket, an adhesive, an underfill material, and/or any other suitable electrical and/or mechanical coupling structure.

The package-on-interposer structure 936 may include an IC package 920 coupled to an interposer 904 by coupling components 918. The coupling components 918 may take any suitable form for the application, such as the forms discussed above with reference to the coupling components 916. Although a single IC package 920 is shown in Figure 9, multiple IC packages may be coupled to the interposer 904. It is to be appreciated that additional interposers may be coupled to the interposer 904. The interposer 904 may provide an intervening substrate used to bridge the circuit board 902 and the IC package 920. The IC package 920 may be or include, for example, a die (the die 702 of Figure 7B), or any other suitable component.

Generally, the interposer 904 may spread a connection to a wider pitch or reroute a connection to a different connection. For example, the interposer 904 may couple the IC package 920 (e.g., a die) to a ball grid array (BGA) of the coupling components 916 for coupling to the circuit board 902. In the embodiment illustrated in Figure 9, the IC package 920 and the circuit board 902 are attached to opposing sides of the interposer 904. In other embodiments, the IC package 920 and the circuit board 902 may be attached to a same side of the interposer 904. In some

embodiments, three or more components may be interconnected by way of the interposer 904.

The interposer 904 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In some implementations, the interposer 904 may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials. The interposer 904 may include metal interconnects 908 and vias 910, including but not limited to through-silicon vias (TSVs) 906. The interposer 904 may further include embedded devices 914, including both passive and active devices. Such devices may include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) devices, and memory devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanical systems (MEMS) devices may also be formed on the interposer 904. The package-on-interposer structure 936 may take the form of any of the package-on-interposer structures known in the art.

The IC device assembly 900 may include an IC package 924 coupled to the first face 940 of the circuit board 902 by coupling components 922. The coupling components 922 may take the form of any of the embodiments discussed above with reference to the coupling components 916, and the IC package 924 may take the form of any of the embodiments discussed above with reference to the IC package 920.

The IC device assembly 900 illustrated in Figure 9 includes a package-on-package structure 934 coupled to the second face 942 of the circuit board 902 by coupling components 928. The package-on-package structure 934 may include an IC package 926 and an IC package 932 coupled together by coupling components 930 such that the IC package 926 is disposed between the circuit board 902 and the IC package 932. The coupling components 928 and 930 may take the form of any of the embodiments of the coupling components 916 discussed above, and the IC packages 926 and 932 may take the form of any of the embodiments of the IC package 920 discussed above. The package-on-package structure 934 may be configured in accordance with any of the package-on-package structures known in the art.

Figure 10 illustrates a computing device 1000 in accordance with one implementation of the disclosure. The computing device 1000 houses a board 1002. The board 1002 may include a number of components, including but not limited to a processor 1004 and at least one communication chip 1006. The processor 1004 is physically and electrically coupled to the board 1002. In some implementations the at least one communication chip 1006 is also physically and electrically coupled to the board 1002. In further implementations, the communication chip 1006 is part of the processor 1004.

Depending on its applications, computing device 1000 may include other components that may or may not be physically and electrically coupled to the board 1002. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).

The communication chip 1006 enables wireless communications for the transfer of data to and from the computing device 1000. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non- solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 1006 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 1000 may include a plurality of communication chips 1006. For instance, a first communication chip 1006 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 1006 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.

The processor 1004 of the computing device 1000 includes an integrated circuit die packaged within the processor 1004. In some implementations of the disclosure, the integrated circuit die of the processor includes one or more embedded non-volatile memory structures having a bilayer selector, in accordance with implementations of embodiments of the disclosure. The term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.

The communication chip 1006 also includes an integrated circuit die packaged within the communication chip 1006. In accordance with another implementation of embodiments of the disclosure, the integrated circuit die of the communication chip includes one or more embedded non-volatile memory structures having a bilayer selector, in accordance with implementations of embodiments of the disclosure.

In further implementations, another component housed within the computing device 1000 may contain an integrated circuit die that includes one or more embedded non-volatile memory structures having a bilayer selector, in accordance with implementations of embodiments of the disclosure.

In various implementations, the computing device 1000 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set- top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 1000 may be any other electronic device that processes data.

Thus, embodiments described herein include embedded non-volatile memory structures having bilayer selector elements.

The above description of illustrated implementations of embodiments of the disclosure, including what is described in the Abstract, is not intended to be exhaustive or to limit the disclosure to the precise forms disclosed. While specific implementations of, and examples for, the disclosure are described herein for illustrative purposes, various equivalent modifications are possible within the scope of the disclosure, as those skilled in the relevant art will recognize.

These modifications may be made to the disclosure in light of the above detailed description. The terms used in the following claims should not be construed to limit the disclosure to the specific implementations disclosed in the specification and the claims. Rather, the scope of the disclosure is to be determined entirely by the following claims, which are to be construed in accordance with established doctrines of claim interpretation.

Example embodiment 1 : A memory device includes a wordline. A bilayer selector element is above the wordline. The bilayer selector element includes a ferroelectric oxide material layer. A bipolar memory element is above the wordline. A conductive electrode is between the bilayer selector element and the bipolar memory element. A bitline is above the wordline.

Example embodiment 2: The memory device of example embodiment 1, wherein the bilayer selector element further includes an insulator metal transition material layer.

Example embodiment 3 : The memory device of example embodiment 2, wherein the insulator metal transition material layer is selected from the group consisting of a vanadium oxide material and a niobium oxide material.

Example embodiment 4: The memory device of example embodiment 2 or 3, wherein the conductive electrode is on the ferroelectric oxide material layer, and the ferroelectric oxide material layer is on the insulator metal transition material layer.

Example embodiment 5 : The memory device of example embodiment 2 or 3, wherein the conductive electrode is on the insulator metal transition material layer, and the insulator metal transition material layer is on the ferroelectric oxide material layer.

Example embodiment 6: The memory device of example embodiment 1, wherein the bilayer selector element further includes a semiconducting oxide material layer.

Example embodiment 7: The memory device of example embodiment 6, wherein the semiconducting oxide material layer includes indium gallium zinc oxide (IGZO).

Example embodiment 8: The memory device of example embodiment 6 or 7, wherein the conductive electrode is on the ferroelectric oxide material layer, and the ferroelectric oxide material layer is on the semiconducting oxide material layer.

Example embodiment 9: The memory device of example embodiment 6 or 7, wherein the conductive electrode is on the semiconducting oxide material layer, and the semiconducting oxide material layer is on the ferroelectric oxide material layer.

Example embodiment 10: The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8 or 9, wherein the ferroelectric oxide material layer includes hafnium and oxygen.

Example embodiment 1 1 : The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8 or 9, wherein the ferroelectric oxide material layer is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).

Example embodiment 12: The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10 or 1 1, wherein selector element has a threshold voltage less than or equal to IV.

Example embodiment 13: The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 or 12, wherein the selector element is above the bipolar memory element.

Example embodiment 14: The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 or 12, wherein the selector element is below the bipolar memory element.

Example embodiment 15: The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 , 12, 13 or 14, wherein the bipolar memory element includes a resistive random access memory (RRAM) device.

Example embodiment 16: The memory device of example embodiment 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13 or 14, wherein the bipolar memory element includes a magnetic tunnel junction (MTJ) device.

Example embodiment 17: A memory structure includes a first bitline above a substrate, a first memory cell on the first bitline, the first memory device including a first bilayer selector element above the first bitline, the first bilayer selector element including a ferroelectric oxide material layer, a first bipolar memory element above the first bitline, a first conductive electrode between the first bilayer selector element and the first bipolar memory element, and a wordline on the first memory device. The memory structure also includes a second memory device on the wordline, the second memory device including a second bilayer selector element above the wordline, the second bilayer selector element including a ferroelectric oxide material layer, a second bipolar memory element above the wordline, a second conductive electrode between the second bilayer selector element and the second bipolar memory element, and a second bitline on the second memory device.

Example embodiment 18: The memory structure of example embodiment 17, wherein the first bilayer selector element further includes an insulator metal transition material layer, and wherein the second bilayer selector element further includes an insulator metal transition material layer.

Example embodiment 19: The memory structure of example embodiment 17, wherein the first bilayer selector element further includes a semiconducting oxide material layer, and wherein the second bilayer selector element further includes a semiconducting oxide material layer.

Example embodiment 20: The memory structure of example embodiment 17, 18 or 19, wherein the first selector element is above the first bipolar memory element, and the second selector element is below the second bipolar memory element.

Example embodiment 21: The memory structure of example embodiment 17, 18 or 19, wherein the first selector element is below the first bipolar memory element and the second selector element is above the second bipolar memory element.

Example embodiment 22: The memory structure of example embodiment 17, 18, 19, 20 or 21, wherein the first bipolar memory and the second bipolar memory element each include a resistive random access memory (RRAM) device, or each include a magnetic tunnel junction

(MTJ) device.

Example embodiment 23: A method of fabricating a memory device includes forming a bitline in a first dielectric layer above a substrate, forming a bipolar memory material layer stack above the bitline, forming a conductive electrode layer above the bipolar memory material layer stack, forming a bilayer selector material stack on the conductive electrode layer, the bilayer selector material stack including a ferroelectric oxide material layer, forming a hardmask layer above the bilayer selector material stack, patterning the hardmask layer to form a hardmask, forming a bilayer selector element by using the patterned hardmask to pattern the bilayer selector material stack, patterning the conductive electrode layer to form a conductive electrode, patterning the bipolar memory material layer stack using the hardmask, forming a second dielectric layer on the hardmask, on sidewalls of the bilayer selector element and on sidewalls of the bipolar memory element, planarizing the second dielectric layer to expose an uppermost surface of the bipolar memory element, and forming a wordline on the uppermost surface of the bipolar memory element and on an uppermost surface of the second dielectric layer.

Example embodiment 24: The method of example embodiment 23, wherein forming the bipolar memory material layer stack includes forming a material layer stack for a resistive random access memory device.

Example embodiment 25: The method of example embodiment 23, wherein forming the bipolar memory material layer stack includes forming a material layer stack for a magnetic tunnel junction device.