Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
BOTTOM AND MIDDLE EDGE RINGS
Document Type and Number:
WIPO Patent Application WO/2019/103722
Kind Code:
A1
Abstract:
A bottom ring is configured to support a moveable edge ring. The edge ring is configured to be raised and lowered relative to a substrate support. The bottom ring includes an upper surface that is stepped, an annular inner diameter, an annular outer diameter, a lower surface, and a plurality of vertical guide channels provided through the bottom ring from the lower surface to the upper surface of the bottom ring. Each of the guide channels includes a first region having a smaller diameter than the guide channel, and the guide channels are configured to receive respective lift pins for raising and lowering the edge ring.

Inventors:
RATHNASINGHE HIRAN RAJITHA (US)
TOKAIRIN SHAWN E S (US)
MCCHESNEY JON (US)
Application Number:
PCT/US2017/062769
Publication Date:
May 31, 2019
Filing Date:
November 21, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/687; H01L21/67; H01L21/683
Foreign References:
US20170213758A12017-07-27
US20080236749A12008-10-02
US20140190822A12014-07-10
US20170263478A12017-09-14
JP2016046451A2016-04-04
Other References:
See also references of EP 3566245A4
Attorney, Agent or Firm:
CHAPP, Jeffrey J. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A bottom ring configured to support a moveable edge ring, wherein the edge ring is configured to be raised and lowered relative to a substrate support, the bottom ring comprising:

an upper surface, wherein the upper surface is stepped;

an annular inner diameter;

an annular outer diameter;

a lower surface; and

a plurality of vertical guide channels provided through the bottom ring from the lower surface to the upper surface of the bottom ring, wherein each of the guide channels includes a first region having a smaller diameter than the guide channel, and wherein the guide channels are configured to receive respective lift pins for raising and lowering the edge ring.

2. The bottom ring of claim 1 , wherein a diameter of the guide channel is between 0.063” and 0.067”.

3. The bottom ring of claim 1 , wherein each of the guide channels includes a cavity on the lower surface of the bottom ring, wherein the cavities have a diameter greater than the guide channels.

4. The bottom ring of claim 3, wherein transitions between the guide channels and the cavities are chamfered.

5. The bottom ring of claim 4, wherein the chamfered transitions have a height and width between 0.020” and 0.035” and an angle between 40° and 50°.

6. The bottom ring of claim 1 , wherein an inner diameter of a step in the upper surface is at least 13.0”.

7. The bottom ring of claim 1 , further comprising a guide feature extending upward from the upper surface of the bottom ring.

8. The bottom ring of claim 7, wherein the guide channels pass through the guide feature.

9. The bottom ring of claim 8, wherein the guide feature includes the first regions of the guide channels. 10. The bottom ring of claim 7, wherein the upper surface includes an inner annular rim, and wherein the guide feature and the inner annular rim define a groove.

11. The bottom ring of claim 10, wherein a height of the guide feature is greater than a height of the inner annular rim.

12. The bottom ring of claim 7, wherein at least one of a first upper corner and a second upper corner of the guide feature is chamfered.

13. The bottom ring of claim 7, wherein the upper surface includes an inner annular rim and an outer annular rim, wherein the guide feature and the inner annular rim define a first groove, and wherein the guide feature and the outer annular rim define a second groove. 14. The bottom ring of claim 1 , wherein the upper surface includes at least two changes in direction.

15. The bottom ring of claim 1 , wherein the upper surface includes at least five changes of direction.

16. The bottom ring of claim 1 , wherein the upper surface includes at least five alternating vertical and horizontal paths.

17. The bottom ring of claim 1 , wherein the bottom ring has a first outer diameter and a second outer diameter greater than the first outer diameter.

18. The bottom ring of claim 1 , wherein the bottom ring includes an annular lip extending radially outward from an outer diameter of the bottom ring.

19. The bottom ring of claim 1 , wherein the lower surface includes a plurality of cavities configured to be aligned with bolt holes in a baseplate of the substrate support.

20. A middle ring configured to be arranged on a bottom ring and to support a moveable edge ring, wherein the edge ring is configured to be raised and lowered relative to a substrate support, the middle ring comprising:

an upper surface, wherein the upper surface is stepped;

an annular inner diameter;

an annular outer diameter;

a lower surface;

a guide feature defining the annular outer diameter;

an inner annular rim defining the annular inner diameter; and

a groove defined between the guide feature and the inner annular rim.

21. The middle ring of claim 20, wherein at least one of a first upper corner and a second upper corner of the guide feature is chamfered.

22. The middle ring of claim 20, wherein the middle ring is“U”-shaped.

23. The middle ring of claim 20, wherein the upper surface includes at least four changes of direction.

24. The middle ring of claim 20, wherein the upper surface includes at least five alternating vertical and horizontal surfaces.

Description:
BOTTOM AND MIDDLE EDGE RINGS

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] The present disclosure is related by subject matter to International Application PCT/US2017/043527, filed on July 24, 2017. The entire disclosure of the application referenced above is incorporated herein by reference.

FIELD

[0002] The present disclosure relates to moveable edge rings in substrate processing systems.

BACKGROUND

[0003] The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

[0004] Substrate processing systems may be used to treat substrates such as semiconductor wafers. Example processes that may be performed on a substrate include, but are not limited to, chemical vapor deposition (CVD), atomic layer deposition (ALD), conductor etch, and/or other etch, deposition, or cleaning processes. A substrate may be arranged on a substrate support, such as a pedestal, an electrostatic chuck (ESC), etc. in a processing chamber of the substrate processing system. During etching, gas mixtures including one or more precursors may be introduced into the processing chamber and plasma may be used to initiate chemical reactions.

[0005] The substrate support may include a ceramic layer arranged to support a wafer. For example, the wafer may be clamped to the ceramic layer during processing. The substrate support may include an edge ring arranged around an outer portion (e.g., outside of and/or adjacent to a perimeter) of the substrate support. The edge ring may be provided to confine plasma to a volume above the substrate, protect the substrate support from erosion caused by the plasma, etc.

SUMMARY

[0006] A bottom ring is configured to support a moveable edge ring. The edge ring is configured to be raised and lowered relative to a substrate support. The bottom ring includes an upper surface that is stepped, an annular inner diameter, an annular outer diameter, a lower surface, and a plurality of vertical guide channels provided through the bottom ring from the lower surface to the upper surface of the bottom ring. Each of the guide channels includes a first region having a smaller diameter than the guide channel, and the guide channels are configured to receive respective lift pins for raising and lowering the edge ring.

[0007] In other features, a diameter of the guide channel is between 0.063” and 0.067”. Each of the guide channels includes a cavity on the lower surface of the bottom ring, wherein the cavities have a diameter greater than the guide channels. Transitions between the guide channels and the cavities are chamfered. The chamfered transitions have a height and width between 0.020” and 0.035” and an angle between 40° and 50°. An inner diameter of a step in the upper surface is at least 13.0”.

[0008] In other features, the bottom ring includes a guide feature extending upward from the upper surface of the bottom ring. The guide channels pass through the guide feature. The guide feature includes the first regions of the guide channels. The upper surface includes an inner annular rim, and wherein the guide feature and the inner annular rim define a groove. A height of the guide feature is greater than a height of the inner annular rim. At least one of a first upper corner and a second upper corner of the guide feature is chamfered. The upper surface includes an inner annular rim and an outer annular rim, wherein the guide feature and the inner annular rim define a first groove, and wherein the guide feature and the outer annular rim define a second groove.

[0009] In other features, the upper surface includes at least two changes in direction. The upper surface includes at least five changes of direction. The upper surface includes at least five alternating vertical and horizontal paths. The bottom ring has a first outer diameter and a second outer diameter greater than the first outer diameter. The bottom ring includes an annular lip extending radially outward from an outer diameter of the bottom ring. The lower surface includes a plurality of cavities configured to be aligned with bolt holes in a baseplate of the substrate support.

[0010] A middle ring is configured to be arranged on a bottom ring and to support a moveable edge ring. The edge ring is configured to be raised and lowered relative to a substrate support. The middle ring includes an upper surface that is stepped, an annular inner diameter, an annular outer diameter, a lower surface, a guide feature defining the annular outer diameter, an inner annular rim defining the annular inner diameter, and a groove defined between the guide feature and the inner annular rim.

[0011] In other features, at least one of a first upper corner and a second upper corner of the guide feature is chamfered. The middle ring is“U”-shaped. The upper surface includes at least four changes of direction. The upper surface includes at least five alternating vertical and horizontal surfaces.

[0012] Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.

BRIEF DESCRIPTION OF THE DRAWINGS

[0013] The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:

[0014] FIG. 1 is a functional block diagram of an example processing chamber according to the present disclosure;

[0015] FIG. 2A shows an example moveable edge ring in a lowered position according to the present disclosure;

[0016] FIG. 2B shows an example moveable edge ring in a raised position according to the present disclosure;

[0017] FIG. 3A shows a first example substrate support including a moveable edge ring according to the present disclosure;

[0018] FIG. 3B shows a second example substrate support including a moveable edge ring according to the present disclosure;

[0019] FIG. 4A shows a third example substrate support including a moveable edge ring according to the present disclosure;

[0020] FIG. 4B shows a fourth example substrate support including a moveable edge ring according to the present disclosure;

[0021] FIG. 4C shows a fifth example substrate support including a moveable edge ring according to the present disclosure;

[0022] FIG. 5A shows a sixth example substrate support including a moveable edge ring according to the present disclosure;

[0023] FIG. 5B shows a seventh example substrate support including a moveable edge ring according to the present disclosure;

[0024] FIG. 6A shows a bottom view of an example bottom ring of a substrate support according to the present disclosure; [0025] FIG. 6B shows a clocking feature of a bottom ring of a substrate support according to the present disclosure;

[0026] FIG. 7A shows a first example of a bottom ring configured to support a moveable edge ring according to the present disclosure;

[0027] FIG. 7B shows a second example of a bottom ring configured to support a moveable edge ring according to the present disclosure;

[0028] FIG. 7C shows a third example of a bottom ring configured to support a moveable edge ring according to the present disclosure;

[0029] FIG. 8A shows a fourth example of a bottom ring configured to support a moveable edge ring according to the present disclosure;

[0030] FIG. 8B shows a fifth example of a bottom ring configured to support a moveable edge ring according to the present disclosure;

[0031] FIG. 8C shows a sixth example of a bottom ring configured to support a moveable edge ring according to the present disclosure;

[0032] FIG. 9 shows a middle ring configured to support a moveable edge ring according to the present disclosure; and

[0033] FIGS. 10A and 10B show a seventh example of a bottom ring configured to support a moveable edge ring according to the present disclosure.

[0034] In the drawings, reference numbers may be reused to identify similar and/or identical elements.

DETAILED DESCRIPTION

[0035] A substrate support in a substrate processing system may include an edge ring. An upper surface of the edge ring may extend above an upper surface of the substrate support, causing the upper surface of the substrate support (and, in some examples, an upper surface of a substrate arranged on the substrate support) to be recessed relative to the edge ring. This recess may be referred to as a pocket. A distance between the upper surface of the edge ring and the upper surface of the substrate may be referred to as a“pocket depth.” Generally, the pocket depth is fixed according to a height of the edge ring relative to the upper surface of the substrate.

[0036] Some aspects of etch processing may vary due to characteristics of the substrate processing system, the substrate, gas mixtures, etc. For example, flow patterns, and therefore an etch rate and etch uniformity, may vary according to the pocket depth of the edge ring, edge ring geometry (i.e. , shape), as well as other variables including, but not limited to, gas flow rates, gas species, injection angle, injection position, etc. Accordingly, varying the configuration of the edge ring (e.g., including edge ring height and/or geometry) may modify the gas velocity profile across the surface of the substrate.

[0037] Some substrate processing systems may implement moveable (e.g., tunable) edge rings and/or replaceable edge rings. In one example, a height of a moveable edge may be adjusted during processing to control etch uniformity. The edge ring may be coupled to an actuator configured to raise and lower the edge ring in response to a controller, user interface, etc. In one example, a controller of the substrate processing system controls the height of the edge ring during a process, between process steps, etc. according to a particular recipe being performed and associated gas injection parameters. Further, edge rings and other components may comprise consumable materials that wear/erode over time. Accordingly, the height of the edge ring may be adjusted to compensate for erosion. In other examples, edge rings may be removable and replaceable (e.g., to replace eroded or damaged edge rings, to replace an edge ring with an edge ring having different geometry, etc.). Examples of substrate processing systems implementing moveable and replaceable edge rings can be found in U.S. Patent Application No. 14/705,430, filed on May 6, 2015, the entire contents of which are incorporated herein by reference.

[0038] Substrate processing systems and methods according to the principles of the present disclosure include middle edge rings and bottom edge rings configured to support movable top edge rings.

[0039] Referring now to FIG. 1 , an example substrate processing system 100 is shown. For example only, the substrate processing system 100 may be used for performing etching using RF plasma and/or other suitable substrate processing. The substrate processing system 100 includes a processing chamber 102 that encloses other components of the substrate processing system 100 and contains the RF plasma. The substrate processing chamber 102 includes an upper electrode 104 and a substrate support 106, such as an electrostatic chuck (ESC). During operation, a substrate 108 is arranged on the substrate support 106. While a specific substrate processing system 100 and chamber 102 are shown as an example, the principles of the present disclosure may be applied to other types of substrate processing systems and chambers, such as a substrate processing system that generates plasma in-situ, that implements remote plasma generation and delivery (e.g., using a plasma tube, a microwave tube), etc.

[0040] For example only, the upper electrode 104 may include a gas distribution device such as a showerhead 109 that introduces and distributes process gases (e.g., etch process gases). The showerhead 109 may include a stem portion including one end connected to a top surface of the processing chamber. A base portion is generally cylindrical and extends radially outwardly from an opposite end of the stem portion at a location that is spaced from the top surface of the processing chamber. A substrate-facing surface or faceplate of the base portion of the showerhead includes a plurality of holes through which process gas or purge gas flows. Alternately, the upper electrode 104 may include a conducting plate and the process gases may be introduced in another manner.

[0041] The substrate support 106 includes a conductive baseplate 110 that acts as a lower electrode. The baseplate 110 supports a ceramic layer 112. In some examples, the ceramic layer 112 may comprise a heating layer, such as a ceramic multi-zone heating plate. A thermal resistance layer 114 (e.g., a bond layer) may be arranged between the ceramic layer 112 and the baseplate 110. The baseplate 110 may include one or more coolant channels 116 for flowing coolant through the baseplate 110.

[0042] An RF generating system 120 generates and outputs an RF voltage to one of the upper electrode 104 and the lower electrode (e.g., the baseplate 110 of the substrate support 106). The other one of the upper electrode 104 and the baseplate 110 may be DC grounded, AC grounded or floating. For example only, the RF generating system 120 may include an RF voltage generator 122 that generates the RF voltage that is fed by a matching and distribution network 124 to the upper electrode 104 or the baseplate 110. In other examples, the plasma may be generated inductively or remotely. Although, as shown for example purposes, the RF generating system 120 corresponds to a capacitively coupled plasma (CCP) system, the principles of the present disclosure may also be implemented in other suitable systems, such as, for example only transformer coupled plasma (TCP) systems, CCP cathode systems, remote microwave plasma generation and delivery systems, etc.

[0043] A gas delivery system 130 includes one or more gas sources 132-1 , 132-2,... , and 132-N (collectively gas sources 132), where N is an integer greater than zero. The gas sources supply one or more gases (e.g., etch gas, carrier gases, purge gases, etc.) and mixtures thereof. The gas sources may also supply purge gas. The gas sources 132 are connected by valves 134-1 , 134-2, ... , and 134-N (collectively valves 134) and mass flow controllers 136-1 , 136-2, ... , and 136-N (collectively mass flow controllers 136) to a manifold 140. An output of the manifold 140 is fed to the processing chamber 102. For example only, the output of the manifold 140 is fed to the showerhead 109.

[0044] A temperature controller 142 may be connected to a plurality of heating elements, such as thermal control elements (TCEs) 144 arranged in the ceramic layer 112. For example, the heating elements 144 may include, but are not limited to, macro heating elements corresponding to respective zones in a multi-zone heating plate and/or an array of micro heating elements disposed across multiple zones of a multi-zone heating plate. The temperature controller 142 may be used to control the plurality of heating elements 144 to control a temperature of the substrate support 106 and the substrate 108.

[0045] The temperature controller 142 may communicate with a coolant assembly 146 to control coolant flow through the channels 116. For example, the coolant assembly 146 may include a coolant pump and reservoir. The temperature controller 142 operates the coolant assembly 146 to selectively flow the coolant through the channels 116 to cool the substrate support 106.

[0046] A valve 150 and pump 152 may be used to evacuate reactants from the processing chamber 102. A system controller 160 may be used to control components of the substrate processing system 100. A robot 170 may be used to deliver substrates onto, and remove substrates from, the substrate support 106. For example, the robot 170 may transfer substrates between the substrate support 106 and a load lock 172. Although shown as separate controllers, the temperature controller 142 may be implemented within the system controller 160. In some examples, a protective seal 176 may be provided around a perimeter of the bond layer 114 between the ceramic layer 112 and the baseplate 110.

[0047] The substrate support 106 includes an edge ring 180. The edge ring 180 may correspond to a top ring, which may be supported by a bottom ring 184. In some examples, the edge ring 180 may be further supported by one or more of a middle ring (not shown in FIG. 1), a stepped portion of the ceramic layer 112, etc. as described below in more detail. The edge ring 180 is moveable (e.g., moveable upward and downward in a vertical direction) relative to the substrate 108. For example, the edge ring 180 may be controlled via an actuator responsive to the controller 160. In some examples, the edge ring 180 may be adjusted during substrate processing (i.e. , the edge ring 180 may be a tunable edge ring). In other examples, the edge ring 180 may be removable (e.g., using the robot 170, via an airlock, while the processing chamber 102 is under vacuum). In still other examples, the edge ring 180 may be both tunable and removable.

[0048] Referring now to FIGS. 2A and 2B, an example substrate support 200 having a substrate 204 arranged thereon is shown. The substrate support 200 may include a base or pedestal having an inner portion (e.g., corresponding to an ESC) 208 and an outer portion 212. In examples, the outer portion 212 may be independent from, and moveable in relation to, the inner portion 208. For example, the outer portion 212 may include a bottom ring 216 and a top edge ring 220. The substrate 204 is arranged on the inner portion 208 (e.g., on a ceramic layer 224) for processing. A controller 228 communicates with one or more actuators 232 to selectively raise and lower the edge ring 220. For example, the edge ring 220 may be raised and/or lowered to adjust a pocket depth of the support 200 during processing. In another example, the edge ring 220 may be raised to facilitate removal and replacement of the edge ring 220. [0049] For example only, the edge ring 220 is shown in a fully lowered position in FIG. 2A and in a fully raised position in FIG. 2B. As shown, the actuators 232 correspond to pin actuators configured to selectively extend and retract pins 236 in a vertical direction. Other suitable types of actuators may be used in other examples. For example only, the edge ring 220 corresponds to a ceramic or quartz edge ring, although other suitable materials may be used (e.g., silicon carbide, yttria, etc.). In FIG. 2A, the controller 228 communicates with the actuators 232 to directly raise and lower the edge ring 220 via the pins 236. In some examples, the inner portion 208 is moveable relative to the outer portion 212.

[0050] Features of an example substrate support 300 are shown in more detail in FIGS. 3A and 3B. The substrate support 300 includes an insulator ring or plate 304 and a baseplate (e.g., of an ESC) 308 arranged on the insulator plate 304. The baseplate 308 supports a ceramic layer 312 configured to support a substrate 316 arranged thereon for processing. In FIG. 3A, the ceramic layer 312 has a non-stepped configuration. In FIG. 3B, the ceramic layer 312 has a stepped configuration. The substrate support 300 includes a bottom ring 320 that supports an upper (“top”) edge ring 324. One or more vias or guide channels 328 may be formed through the insulator plate 304, the bottom ring 320, and/or the baseplate 308 to accommodate respective lift pins 332 arranged to selectively raise and lower the edge ring 324. For example, the guide channels 328 function as pin alignment holes for respective ones of the lift pins 332. As shown in FIG. 3B, the substrate support 300 may further include a middle ring 336 arranged between the bottom ring 320 and the edge ring 324. In the stepped configuration, the middle ring 336 overlaps the ceramic layer 312 and is arranged to support an outer edge of the substrate 316.

[0051] The lift pins 332 may comprise an erosion-resistant material (e.g., sapphire). An outer surface of the lift pins 332 may be polished smooth to reduce friction between the lift pins 332 and structural features of the bottom ring 320 to facilitate movement. In some examples, one or more ceramic sleeves 340 may be arranged in the channels 328 around the lift pins 332. Each of the lift pins 332 may include a rounded upper end 344 to minimize contact area between the upper end 344 and the edge ring 324. The smooth outer surface, rounded upper end 344, guide channel 328, and/or ceramic sleeves 340 facilitate raising and lowering of the edge ring 324 and while preventing binding of the lift pins 332 during movement.

[0052] As shown in FIG. 3A, the bottom ring 320 includes a guide feature 348. In FIG. 3B, the middle ring 336 includes the guide feature 348. For example, the guide feature 348 corresponds to a raised annular rim 352 that extends upward from the bottom ring 320/the middle ring 336. In FIG. 3A, the guide channels 328 and the lift pins 332 extend through the guide feature 348 to engage the edge ring 324. Conversely, in FIG. 3B, the guide channels 328 and the lift pins 332 extend through the bottom ring 320 to engage the edge ring 324 without passing through the middle ring 336.

[0053] The edge ring 324 includes an annular bottom groove 356 arranged to receive the guide feature 348. For example, a profile (i.e., cross-section) shape of the edge ring 324 may generally correspond to a“U” shape configured to receive the guide feature 348, although other suitable shapes may be used. Further, although the upper surface of the edge ring 324 is shown as generally horizontal (i.e., parallel to an upper surface of the substrate support 300), the upper surface of the edge ring 324 may have a different profile in other examples. For example, the upper surface of the edge ring 324 may be tilted or slanted, rounded, etc. In some examples, the upper surface of the edge ring 324 is tilted such that a thickness at an inner diameter of the edge ring 324 is greater than a thickness at an outer diameter of the edge ring 324 to compensate for erosion at the inner diameter.

[0054] Accordingly, a bottom surface of the edge ring 324 is configured to be complementary to an upper surface of the bottom ring 320 in FIG. 3A, or respective surfaces of the bottom ring 320 and the middle ring 336 in FIG. 3B. Further, an interface 360 between the edge ring 324 and the bottom ring 320/middle ring 336 is labyrinthine. In other words, the lower surface of the edge ring 324 and, correspondingly, the interface 360, includes multiple changes of direction (e.g., 90 degree changes of direction, upward and downward steps, alternating horizontal and vertical orthogonal paths, etc.) rather than providing a direct (e.g., line of sight) path between the edge ring 324 and the bottom ring 320/middle ring 336 to interior structures of the substrate support 300. Typically, likelihood of plasma and process material leakage may be increased in substrate supports including multiple interfacing rings (e.g., both the top edge ring 324 and one or more of the middle ring 336 and the bottom ring 320). This likelihood may be further increased when the moveable edge ring 324 is raised during processing. Accordingly, the interface 360 (and, in particular, the profile of the edge ring 324) is configured to prevent process materials, plasma, etc. from reaching interior structures of the substrate support 300.

[0055] For example, as shown in FIG. 3A, the interface 360 includes five changes of direction to restrict access to the guide channels 328 and pins 332, the ceramic layer 312, a backside and edge of the substrate 316, etc. Conversely, as shown in FIG. 3B, the interface 360 includes seven changes of direction in a first path 364 and five changes of direction in a second path 368 to restrict access to the guide channels 328 and pins 332, the ceramic layer 312, a backside and edge of the substrate 316, a bond layer 372, a seal 376, etc. Accordingly, the interface 360 reduces the likelihood of plasma leakage and light-up, erosion, etc. affecting the interior structures of the substrate support 300. [0056] The profile (i.e., cross-section) shape of the edge ring 324 (as well as the interfacing surfaces of the bottom ring 320, middle ring 336, etc.) is designed to facilitate manufacturing and reduce manufacturing costs. For example, walls 380, 384 of the groove 356 and the guide feature 340 may be substantially vertical (e.g., in contrast to being parabolic, trapezoidal, triangular, etc.) to facilitate manufacturing while preventing plasma and process material leakage. For example only, substantially vertical may be defined as being perpendicular to upper and/or lower surfaces of the edge ring 324, within 1° of a normal line of an upper and/or lower surface of the edge ring 324, parallel to a direction of movement of the edge ring 324, etc. Further, the vertical walls 380, 384 maintain alignment of the edge ring 324 relative to the guide feature 340 during movement of the edge ring 324. In contrast, when respective profiles of the groove 356 and the guide feature 340 are parabolic, trapezoidal, triangular, etc., upward movement of the edge ring 324 causes significant separation between the walls 380 and the walls 384.

[0057] Surfaces of the edge ring 324, the bottom ring 320, and the middle ring 336 within the interface 360 (and, in particular, within the groove 356) are relatively smooth and continuous to minimize friction between the edge ring 324 and the guide feature 340 during movement of the edge ring 324. For example, respective surfaces of the edge ring 324, the bottom ring 320, and the middle ring 336 within the interface 360 may undergo additional polishing to achieve a desired surface smoothness. In other examples, surfaces of the edge ring 324, the bottom ring 320, and the middle ring 336 within the interface 360 may be coated with a material that further reduces friction. In still other examples, the surfaces of the edge ring 324, the bottom ring 320, and the middle ring 336 within the interface 360 (and, in particular, the edge ring 324) may be free of screw holes and/or similar assembly features. In this manner, creation of particles due to contact between surfaces (e.g., during movement of the edge ring 324) may be minimized.

[0058] When the edge ring 324 is raised for tuning during processing as described above, the controller 228 as described in FIGS. 2A and 2B is configured to limit a tunable range of the edge ring 324 according to a height H of the guide feature 348. For example, the tunable range may be limited to less than the height H of the guide feature 348. For example, if the guide feature 348 has a height H of approximately 0.24” (e.g., 0.22”-0.26”), the tunable range of the edge ring 324 may be 0.25”. In other words, the edge ring 324 may be raised from a fully lowered position (e.g., 0.0”) to a fully raised position (e.g., 0.25”) without entirely removing the guide feature 348 from the groove 356 in the edge ring 324. Accordingly, even in the fully raised position, the edge ring 324 still overlaps at least a portion of the guide feature 348. Limiting the range of the edge ring 324 in this manner retains the labyrinthine interface 360 as described above and prevents lateral misalignment of the edge ring 324. A depth of the groove 356 may be approximately equal to (e.g., within 5%) of the height H of the guide feature 348. The depth of the groove 356 may be at least 50% of the thickness of the edge ring. For example only, the tunable range of the edge ring 324 of FIG. 3A is 0.15” to 0.25” and the tunable range of the edge ring 324 of FIG. 3B is 0.05” to 0.15”. For example, a thickness (i.e., height) of the edge ring 324 may be between approximately 0.50” (e.g., 0.45” to 0.55”) and approximately 0.6” (e.g., 0.58” to 0.620”), and a depth of the groove 356 may be approximately 0.30” (e.g., 0.29” to 0.31”).

[0059] For example, the“thickness” of the edge ring 324, as used herein, may refer to a thickness of the edge ring 324 at an inner diameter of the edge ring 324 (e.g., a thickness/height of the edge ring 324 at an inner wall 388). In some examples, a thickness of the edge ring 324 may not be uniform across an upper surface of the edge ring 324 (e.g., the upper surface of the edge ring 324 may be tilted as described above such that a thickness at the inner wall 388 is greater than a thickness at an outer diameter of the edge ring 324). However, since erosion due to exposure to plasma may be increased at the inner wall 388 relative to an outer diameter of the edge ring 324, the edge ring 324 may be formed such that the inner wall 388 has at least a predetermined thickness to compensate for the increased erosion at the inner wall 388. For example only, the inner wall 388 is substantially vertical to avoid contact with the substrate 316 during movement of the edge ring 324.

[0060] Referring now to FIGS. 4A, 4B, and 4C, another example substrate support 400 is shown in more detail. The substrate support 400 includes an insulator ring or plate 404 and a baseplate 408 arranged on the insulator plate 404. The baseplate 408 supports a ceramic layer 412 configured to support a substrate 416 arranged thereon for processing. In FIG. 4A, the ceramic layer 412 has a non-stepped configuration. In FIGS. 4B and 4C, the ceramic layer 412 has a stepped configuration. The substrate support 400 includes a bottom ring 420 that supports an upper edge ring 424. In the stepped configuration, the edge ring 424 overlaps the ceramic layer 412. One or more vias or guide channels 428 may be formed through the insulator plate 404, the bottom ring 420, and/or the baseplate 408 to accommodate respective lift pins 432 arranged to selectively raise and lower the edge ring 424. For example, the guide channels 428 function as pin alignment holes for respective ones of the lift pins 432.

[0061] In the examples of FIGS. 4A, 4B, and 4C, the edge rings 424 are configured to support an outer edge of the substrate 416 arranged on the ceramic layer 412. For example, inner diameters of the edge rings 424 include a step 434 arranged to support the outer edge of the substrate 416. Accordingly, the edge ring 424 may be raised and lowered to facilitate removal and replacement of the edge ring 424 buy may not be raised and lowered during processing (i.e., the edge ring 424 is not tunable). For example, the edge ring 424 may be raised using the lift pins 432 for removal and replacement (e.g., using the robot 170). [0062] In an example, a lower, inside corner 436 of the edge ring 424 may be chamfered to facilitate alignment (i.e. , centering) of the edge ring 424 on the substrate support 400. Conversely, an upper, outside corner 444 and/or a lower, inside corner 448 of the ceramic layer 412 may be chamfered complementarily to the corner 436. Accordingly, as the edge ring 424 is lowered onto the substrate support 400, the chamfered corner 436 interacts with the chamfered corner(s) 444/448 to cause the edge ring 424 to self-center on the substrate support 400.

[0063] An upper, outer corner 456 of the edge ring 424 may be chamfered to facilitate removal of the edge ring 424 from the processing chamber 102. For example, since the substrate support 400 is configured for in situ removal of the edge ring 424 (i.e., without fully opening and venting the processing chamber 102), the edge ring 424 is configured to be removed via an airlock. Typically, airlocks are sized to accommodate substrates of a predetermined size (e.g., 300 mm). However, the edge ring 424 has a diameter that is significantly larger than the substrate 416 and a typical edge ring 424 may not fit through the airlock. Accordingly, a diameter of the edge ring 424 is reduced (e.g., as compared to the edge rings 324 as shown in FIGS. 3A and 3B). For example, an outer diameter of the edge ring 324 is similar to an outer diameter of the bottom ring 320. Conversely, an outer diameter of the edge ring 424 is significantly less than an outer diameter of the bottom ring 420. For example only, an outer diameter of the edge ring 424 is less than or equal to approximately 13” (e.g., 12.5” to 13”). Chamfering the outer corner 456 further facilitates transfer of the edge ring 424 through the airlock.

[0064] For example only, the chamfer of the outer corner may have a height of 0.050” to 0.070”, a width of 0.030” to 0.050”, and an angle of 25-35°. In some examples, the chamfer of the lower corner 436 may have a height of approximately 0.025” (e.g., 0.015” to 0.040”), a width of approximately 0.015” (e.g., 0.005” to 0.030”), and an angle of approximately 60° (50- 70°). For example only, a thickness (i.e., height) of the edge ring 424 is approximately, but not greater than, 0.275” (e.g., 0.25” to 0.30”). For example, the thickness of the edge ring 424 may not exceed a height of an airlock of the processing chamber 102 to allow removal of the edge ring 424. For example only, the“thickness” of the edge ring 424, as used herein, may refer to a thickness of the edge ring 424 at an inner diameter of the edge ring 424 (e.g., a thickness/height of the edge ring 424 at an inner wall 458) as described above with respect to FIGS. 3A and 3B.

[0065] As shown in FIG. 4C, the bottom ring 420 includes a guide feature 460. For example, the guide feature 460 corresponds to a raised annular rim 464 that extends upward from the bottom ring 420. The guide channels 428 and the lift pins 432 extend through the bottom ring 420 to engage the edge ring 424. The edge ring 424 includes an annular bottom groove 468 arranged to receive the guide feature 460. For example, a profile of the edge ring 424 may generally correspond to a“U” shape configured to receive the guide feature 460.

[0066] Accordingly, similar to the examples of FIGS. 3A and 3B, a bottom surface of the edge ring 424 in FIG. 4C is configured to be complementary to respective upper surfaces of the bottom ring 420 and the ceramic layer 412 to form a labyrinthine interface 472. In other words, the interface 472 includes multiple changes of direction (e.g., 90 degree changes of direction) rather than providing a direct path between the edge ring 424 and the bottom ring 420 to interior structures of the substrate support 400. In some examples, portions of the guide feature 460, the edge ring 424, the bottom ring 420, and/or the ceramic layer 412 within the interface 360 may be chamfered to facilitate alignment (i.e. , centering) of the edge ring 424 on the substrate support 400. For example, a lower, inside corner 476 of an inner diameter of the edge ring 424 and a corresponding lower, inside corner 480 and/or upper, outside corner 484 of the ceramic layer 412 are chamfered. In other examples, mechanical alignment of the guide feature 460 within the groove 468 centers the edge ring 324. In some examples, the chamfer of the lower corner 476 may have a height of approximately 0.025” (e.g., 0.015” to 0.040”), a width of approximately 0.015” (e.g., 0.005” to 0.030”), and an angle of approximately 60° (e.g., 50-60°).

[0067] Referring now to FIGS. 5A and 5B, another example substrate support 500 is shown in more detail. The substrate support 500 includes an insulator ring or plate 504 and a baseplate 508 arranged on the insulator plate 504. The baseplate 508 supports a ceramic layer 512 configured to support a substrate 516 arranged thereon for processing. In FIG. 5A, the ceramic layer 512 has a non-stepped configuration. In FIG. 5B, the ceramic layer 512 has a stepped configuration. The substrate support 500 includes a bottom ring 520 that supports an upper edge ring 524 (as shown in FIG. 5A) or an upper edge ring 526 (as shown in FIG. 5B). One or more vias or guide channels 528 may be formed through the insulator plate 504, the bottom ring 520, and/or the baseplate 508 to accommodate respective lift pins 532 arranged to selectively raise and lower the edge ring 524/526. For example, the guide channels 528 function as pin alignment holes for respective ones of the lift pins 532. As shown in FIG. 5B, the substrate support 500 may further include a middle ring 536 arranged between the bottom ring 520 and the edge ring 526. In the stepped configuration, the middle ring 536 overlaps the ceramic layer 512 and is arranged to support an outer edge of the substrate 516.

[0068] The examples of FIGS. 5A and 5B combine features of both the tunable edge rings 324 of FIGS. 3A and 3B and the removable/replaceable edge rings of FIGS. 4A, 4B, and 4C. For example, even in the stepped configuration of FIG. 5B, the edge ring 526 does not extend beneath and support the substrate 516. Accordingly, the edge ring 524/526 may be raised and lowered during processing. For example only, a tunable range of the edge ring 524 of FIG. 5A is 0.05” to 0.15” and a tunable range of the edge ring 526 of FIG. 5B is 0.02” to 0.05”. Further, an outer diameter of the edge ring 524/526 is reduced as described with respect to FIGS. 4A, 4B, and 4C to facilitate transfer of the edge ring 524/526 through an airlock. Accordingly, the edge ring 524/526 may be removed and replaced in situ as described above.

[0069] As shown in FIG. 5A, the bottom ring 520 includes a guide feature 540. In FIG. 5B, the middle ring 536 includes the guide feature 540. For example, the guide feature 540 corresponds to a raised annular rim 544 that extends upward from the bottom ring 520/the middle ring 536. In each of FIGS. 5A and 5B, the guide channels 528 and the lift pins 532 extend through the bottom ring 520 to engage the edge ring 524/526. For example, the edge ring 524/526 includes an annular bottom groove 548 arranged to receive the guide feature 540. For example, a profile of the edge ring 524/526 may generally correspond to a“U” shape configured to receive the guide feature 540.

[0070] Accordingly, similar to the examples of FIGS. 3A, 3B, and 4C, a bottom surface of the edge ring 524/526 is configured to be complementary to respective upper surfaces of the bottom ring 520 and the middle ring 536 to form a labyrinthine interface 552. In other words, the interface 552 includes multiple changes of direction (e.g., 90 degree changes of direction) rather than providing a direct path between the edge ring 524/526 and the bottom ring 520 to interior structures of the substrate support 500. In some examples, portions of the guide feature 540, the edge ring 524/526, the bottom ring 520, and/or the middle ring 536 within the interface 552 may be chamfered to facilitate alignment (i.e. , centering) of the edge ring 524/526 on the substrate support 500. For example, in FIG. 5A, corners 556 and 558 of the edge ring 524 and complementary corners 560 of the guide feature 540 and 562 of the bottom ring 520 are chamfered. Conversely, in FIG. 5B, only the corner 556 of the edge ring 526 and the corner 560 of the bottom ring 520 are chamfered. An upper, outer corner 564 of the edge ring 524 may be chamfered to facilitate removal of the edge ring 524 from the processing chamber 102 as described above with respect to FIGS. 4A, 4B, and 4C.

[0071] For example only, the chamfers of the lower corners 556 and 558 may have a height and width of approximately 0.005” to 0.030” and an angle of approximately 25 to 35°. For example, a thickness (i.e., height) of the edge ring 524/526 may be approximately, but not greater than, 0.25” (e.g., 0.25” to 0.26”) and a depth of the groove 548 may be 0.200” to 0.220”. A difference between the thickness of the edge ring 524/526 and the depth of the groove 548 may be not less than 0.075”. For example, the thickness of the edge ring 524/526 may not exceed a height of an airlock of the processing chamber 102 to allow removal of the edge ring 524/526. However, the thickness of the edge ring 524/526 may also be maximized, without exceeding the height of the airlock, to optimize tunability of the edge ring 524/526. In other words, as the edge ring 524/526 erodes over time, the amount the edge ring 524/526 may be raised without needing to be replaced increases proportionately to the thickness of the edge ring 524/526. For example only, the“thickness” of the edge ring 524/526 as used herein, may refer to a thickness of the edge ring 524/526 at an inner diameter of the edge ring 524/526 (e.g., a thickness/height of the edge ring 524/526 at an inner wall 568) as described above with respect to FIGS. 3A, 3B, 4A, 4B, and 4C.

[0072] Referring now to FIGS. 6A and 6B, an example bottom ring 600 (e.g., corresponding to any of the bottom rings 320, 420, or 520) may implement a clocking feature to facilitate alignment of the bottom ring 600 with an insulator ring 604. The bottom ring 600 includes a plurality of guide channels 608 arranged to receive respective lift pins 612 extending through the insulator ring 604. The bottom ring 600 further includes one or more clocking features, such as a notch 616. The notch 616 is configured to receive a complementary structure, such as a projection 620, extending upward from the insulator ring 604. Accordingly, the bottom ring 600 may be installed such that the notch 616 is aligned with and receives the projection 620 to ensure that the guide channels 608 are aligned with respective ones of the lift pins 612.

[0073] Referring now to FIGS. 7A, 7B, and 7C, a substrate support 700 includes example bottom rings 704, 708, and 712 configured to support top moveable edge rings in a non- stepped configuration according to the principles of the present disclosure. For example, as shown in FIG. 7A, the bottom ring 704 is configured to support the edge ring 324 of FIG. 3A. As shown in FIG. 7B, the bottom ring 708 is configured to support the edge ring 424 of FIG. 4A. As shown in FIG. 7C, the bottom ring 712 is configured to support the edge ring 524 of FIG. 5A. Respective upper surfaces of each of the bottom rings 704, 708, and 712 is stepped. In other words, each of the respective upper surfaces has at least two different heights.

[0074] The substrate support 700 includes an insulator ring or plate 716 and a baseplate (e.g., of an ESC) 720 arranged on the insulator plate 716. The baseplate 720 supports a ceramic layer 724 configured to support a substrate thereon for processing. One or more vias or guide channels 728 may be formed through the insulator plate 716 and the bottom rings 704, 708, 712 to accommodate lift pins 732 arranged to selectively raise and lower the respective edge rings. For example, the guide channels 728 function as pin alignment holes for respective ones of the lift pins 732. A gap between the lift pins 732 and inner surfaces of the guide channels 728 is minimized to decrease plasma leakage. In other words, a diameter of the guide channels 728 is only slightly greater (e.g., 0.005”-0.010” greater) than a diameter of the lift pins 732. For example, the lift pins 732 may have a diameter of 0.057"-0.061” while the guide channels 728 have a diameter of 0.063”-0.067”. In some examples, the guide channels 728 include narrow regions 734 that have a diameter that is less than other portions of the guide channels 728 to further restrict plasma leakage. For example, the narrow regions 734 may have a diameter that is 0.002-0.004” less than the diameter of the guide channels 728. Similarly, in some examples, the lift pins 732 may include narrow regions located within the narrow regions 734 of the guide channels 728.

[0075] As shown in FIG. 7A, the bottom ring 704 includes a guide feature 736. For example, the guide feature 736 corresponds to a raised annular rim 740 that extends upward from the bottom ring 704. The rim 740 and an inner annular rim 742 define a groove 744. The guide channels 728 and the lift pins 732 extend through the guide feature 736. An upper surface of the bottom ring 704 is configured to be complementary to a bottom surface of the edge ring 324 to form a labyrinthine interface including multiple changes of direction as described above. Respective widths of the groove 744 and the rim 740 are selected to minimize gaps between respective vertical surfaces of the groove 744 and the rim 740 and complementary vertical surfaces on the bottom of the edge ring 324. For example, the gaps may be less than 0.02”.

[0076] Similarly, as shown in FIG. 7C, the bottom ring 712 includes a guide feature 746. For example, the guide feature 746 corresponds to a raised annular rim 748 that extends upward from the bottom ring 712. The rim 748 and an inner annular rim 750 define a first groove 752 while the rim 748 and an outer annular rim 754 define a second groove 756. The guide channels 728 and the lift pins 732 extend through the bottom ring 712. An upper surface of the bottom ring 712 is configured to be complementary to a bottom surface of the edge ring 524 to form a labyrinthine interface including multiple changes of direction as described above. A height of the rim 748 is greater than a height of the inner annular rim 750 to facilitate engagement of the rim 748 with the edge ring 524 prior to contact between the inner annular rim 750 and the edge ring 524.

[0077] In some examples, portions of the guide feature 746 and/or the bottom ring 712 may be chamfered to facilitate alignment (i.e. , centering) of the edge ring 524 on the substrate support 700. For example, corners 760 and 764 of the guide feature 746 and corner 768 of the bottom ring 712 are chamfered. In some examples, the chamfer of the corner 760 may have a height and width of at least approximately 0.008” (e.g., 0.007” to 0.011”) and an angle of 15-25°. The chamfer of the corner 764 may have a height and width of at least approximately 0.01” (e.g., 0.01” to 0.02”) and an angle of 20-35°. The chamfer of the corner 768 may have a height and width of at least approximately 0.010” (e.g., 0.010” to 0.030”) and an angle of 20-35°.

[0078] Inner diameters of the bottom rings 704, 708, and 712 may be at least 11.5” (e.g., between 11.5” and 11.7”). Outer diameters of the bottom rings 704, 708, and 712 may be no greater than 14” (e.g., between 13.8” and 14.1”). Step inner diameters of the bottom rings 708 and 712 at 772 are selected to accommodate the outer diameter of the edge ring 424 or 524. For example, the outer diameter of the edge ring 424 or 524 may be approximately 12.8” (e.g., +/- 0.10”). Accordingly, the inner diameter of the bottom rings 708 and 712 at 772 may be at least 13.0”.

[0079] Referring now to FIGS. 8A, 8B, and 8C, a substrate support 800 includes example bottom rings 804, 808, and 812 configured to support top moveable edge rings in a stepped configuration according to the principles of the present disclosure. For example, as shown in FIG. 8A, the bottom ring 804 is configured to support the edge ring 324 of FIG. 3B. As shown in FIG. 8B, the bottom ring 808 is configured to support the edge ring 424 of FIG. 4C. As shown in FIG. 8C, the bottom ring 812 is configured to support the edge ring 526 of FIG. 5B. The bottom rings 804 and 812 may be further configured to support the middle ring 336 of FIG. 3B and the middle ring 536 of FIG. 5B, respectively. Respective upper surfaces of each of the bottom rings 804, 808, and 812 is stepped. In other words, each of the respective upper surfaces has at least two different heights.

[0080] The substrate support 800 includes an insulator ring or plate 816 and a baseplate (e.g., of an ESC) 820 arranged on the insulator plate 816. The baseplate 820 supports a ceramic layer 824 configured to support a substrate thereon for processing. A bond layer 828 may be arranged between the baseplate 820 and the ceramic layer 824 and a seal 832 surrounds the bond layer 828. One or more vias or guide channels 836 may be formed through the insulator plate 816 and the bottom rings 804, 808, and 812 to accommodate lift pins 840 arranged to selectively raise and lower the respective edge rings. For example, the guide channels 836 function as pin alignment holes for respective ones of the lift pins 840. A gap between the lift pins 840 and inner surfaces of the guide channels 836 is minimized to decrease plasma leakage. In other words, a diameter of the guide channels 836 is only slightly greater (e.g., 0.005”-0.010” greater) than a diameter of the lift pins 840. For example, the lift pins 840 may have a diameter of 0.1” while the guide channels 836 have a diameter of 0.105”. In some examples, the guide channels 836 include narrow regions 842 that have a diameter that is less than other portions of the guide channels 836 to further restrict plasma leakage. For example, the narrow regions 842 may have a diameter that is 0.002-0.004” less than the diameter of the guide channels 836. In some examples, one or more ceramic sleeves 844 may be arranged in the channels 836 around the lift pins 840.

[0081] As shown in FIG. 8B, the bottom ring 808 includes a guide feature 846. For example, the guide feature 846 corresponds to a raised annular rim 848 that extends upward from the bottom ring 808. The rim 848 and an outer annular rim 850 define a groove 852. An upper surface of the bottom ring 808 is configured to be complementary to a bottom surface of the edge ring 424 to form a labyrinthine interface including multiple changes of direction as described above. Respective widths of the groove 852 and the rim 848 are selected to minimize gaps between respective vertical surfaces of the groove 852 and the rim 848 and complementary vertical surfaces on the bottom of the edge ring 424. For example, the gaps may be less than 0.010”. Conversely, in FIG. 8A and 8C, the bottom rings 804 and 812 are configured to support the middle rings 336 and 536 having respective guide features 348 and 540. Accordingly, upper surfaces of the bottom rings 804 and 812 are configured to be, in combination with upper surfaces of the middle rings 336 and 536, complementary to bottom surfaces of the edge rings 324 and 526 to form a labyrinthine interface including multiple changes of direction as described above.

[0082] In examples where the guide channels 836 include the ceramic sleeves 844 (e.g., examples where the guide channels 836 are routed through the baseplate 820), the bottom rings 804, 808, and 812 may be configured to accommodate the ceramic sleeves 844. For example, the bottom rings 804, 808, and 812 may include a clearance feature such as cavity or cutout 856 having a greater diameter than the guide channels 836 to accommodate upper ends of the ceramic sleeves 844. In some examples, the bottom rings 804, 808, and 812 may be installed subsequent to the lift pins 840. Accordingly, respective openings in the bottom rings 804, 808, and 812 may include a chamfered edge 860 to facilitate installation of the bottom rings 804, 808, and 812 over the lift pins 840. For example, the chamfer of the edge 860 may have a height and width of 0.020” to 0.035” and an angle of 40-50°.

[0083] As shown in FIGS. 8B and 8C, step inner diameters of the bottom rings 808 and 812 at 862 are selected to accommodate the outer diameter of the edge rings 424 of FIG. 4C and 526 of FIG. 5B. For example, the outer diameter of the edge rings 424 and 526 may be approximately 12.8” (e.g., +/- 0.10”). Accordingly, the inner diameters of the bottom rings 808 and 812 at 862 may be at least 13.0”. Accordingly, a gap between the bottom rings 808 and 812 and the outer diameter of the edge rings 424 and 526 can be minimized while still preventing contact between vertical surfaces of the bottom rings 808 and 812 and the edge rings 424 and 526.

[0084] In some examples, such as shown in FIG. 8B, the bottom ring 808 may include a first outer diameter at 864 and a second outer diameter at 868. The second outer diameter 868 is greater than the first outer diameter at 864. For example, the substrate support 800 may include a liner 872 that protects outer portions of the insulator plate 816, the baseplate 820, the bottom ring 808, etc. However, the liner 872 may not protect upper portions of the bottom ring 808 that are exposed to plasma, and increased erosion of the bottom ring 808 in a region adjacent to an upper edge 876 of the baseplate 820 (as indicated by dashed arrow 880) may occur. Accordingly, the bottom ring 808 includes additional material at the second outer diameter 868 to compensate for the increased erosion. [0085] Referring now to FIG. 9, an example middle ring 900 is shown. The middle ring 900 may be provided in configurations where the top edge ring would otherwise be supported by upper surfaces of two different components of a substrate support. For example, as shown in FIGS. 3B and 5B (corresponding to FIGS. 8A and 8C, respectively), the top edge rings overlap both a respective ceramic layer and a respective bottom ring. Accordingly, the middle ring 900 is arranged to support a portion of the top edge ring that would otherwise by supported by the ceramic layer. As shown, the middle ring 900 is“U”-shaped.

[0086] The middle ring 900 includes an inner annular rim 904 and an outer annular rim 908 defining a groove 912. The groove 912 is configured to receive a respective top edge ring (e.g., the edge ring 324 or 526). Conversely, the outer annular rim 908 functions as a guide feature to center the top edge ring 324 or 526 during replacement as described above in FIGS. 3B and 5B. In some examples, corners 916 and 920 are chamfered to facilitate engagement with the top edge ring. For example, the chamfer of the corner 916 may have a height and width of at least approximately 0.010” (e.g., 0.005” to 0.015”) and an angle of approximately 20° (e.g., 15-25°). The chamfer of the corner 920 may have a height and width of at least approximately 0.015” (e.g., 0.010” to 0.020”) and an angle of approximately 30° (e.g., 25-35°). A width of the outer annular rim 908 is selected to minimize gaps between respective vertical surfaces of the rim 908 and complementary vertical surfaces on the bottom of the edge ring 324 or 526. For example, the gaps may be less than 0.010” to restrict plasma leakage.

[0087] Referring now to FIGS. 10A and 10B, two cross-sectional views of a substrate support 1000 illustrate a bottom ring 1004 configured to support top moveable edge rings in a stepped configuration according to the principles of the present disclosure. For example, the bottom ring 1004 is configured to support an edge ring (e.g., 526) in a configuration similar to that shown in FIGS. 5B and 8C. The bottom ring 1004 may be further configured to support a middle ring in a configuration similar to that shown in FIG. 5B.

[0088] The substrate support 1000 includes an insulator ring or plate 1008 and a baseplate (e.g., of an ESC) 1012 arranged on the insulator plate 1008. The baseplate 1012 supports a ceramic layer 1016 configured to support a substrate thereon for processing. A bond layer 1020 may be arranged between the baseplate 1012 and the ceramic layer 1016 and a seal 1024 surrounds the bond layer 1020. As shown in FIG. 10A, one or more vias or guide channels 1028 may be formed through the insulator plate 1008, the baseplate 1012, and the bottom ring 1004 to accommodate lift pins 1032 arranged to selectively raise and lower the edge ring. For example, the guide channels 1028 function as pin alignment holes for respective ones of the lift pins 1032. A gap between the lift pins 1032 and inner surfaces of the guide channels 1028 is minimized to decrease plasma leakage. In other words, a diameter of the guide channels 1028 is only slightly greater (e.g., 0.005”-0.010” greater) than a diameter of the lift pins 1032. For example, the lift pins 1032 may have a diameter of 0.1” while the guide channels 1028 have a diameter of 0.105”. In some examples, the guide channels 1028 include narrow regions 1036 that have a diameter that is less than other portions of the guide channels 1028 to further restrict plasma leakage. For example, the narrow regions 1036 may have a diameter that is 0.002-0.004” less than the diameter of the guide channels 1028. In some examples, one or more ceramic sleeves 1040 may be arranged in the channels 1028 around the lift pins 1032.

[0089] The substrate support 1000 may include a liner 1044 arranged to enclose and protect components of the substrate support 1000 such as the insulator plate 1008, the baseplate 1012, and the bottom ring 1004. The bottom ring 1004 as shown in FIGS. 10A and 10B includes an annular lip 1048 extending radially outward from the bottom ring 1004 above the liner 1044. The lip 1048 facilitates installation and removal of the bottom ring 1004 when the liner 1044 is present.

[0090] As shown in FIG. 10B, the baseplate 1012 may be coupled to the insulator plate 1008 using bolts 1052 inserted through respective bolt mounting holes 1056. Ceramic plugs 1060 are arranged above the bolts 1052 to prevent plasma leakage in the bolt mounting holes 1056 and between the bottom ring 1004 and the baseplate 1012. The bottom ring 1004 as shown in FIG. 10B includes clearance features such as cavities or cutouts 1064 to accommodate the ceramic plugs 1060.

[0091] The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.

[0092] Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and“disposed.” Unless explicitly described as being“direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean“at least one of A, at least one of B, and at least one of C.”

[0093] In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

[0094] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. [0095] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

[0096] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0097] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. [0098] The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.

[0099] Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and“disposed.” Unless explicitly described as being“direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean“at least one of A, at least one of B, and at least one of C.”

[0100] In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

[0101] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

[0102] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber. [0103] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0104] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.