Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
CHIP CUSTOMIZED POLISH PADS FOR CHEMICAL MECHANICAL PLANARIZATION (CMP)
Document Type and Number:
WIPO Patent Application WO/2004/087375
Kind Code:
A1
Abstract:
A polishing (102) pad for chemical mechanical planarization of a film on a substrate is customized by obtaining one or more characteristics of a structure on a substrate. For example, when the structure is a chip formed on a semiconductor wafer (104), the one or more characteristics of the structure can include chip size, pattern density, chip architecture, film material, film topography, and the like. Based on the one or more characteristics of the structure, a value for the one or more chemical or physical properties of the pad (102) is selected. For example, the one or more chemical or physical properties of the pad can include pad material hardness, thickness, surface grooving, pore size, porosity, Youngs modulus, compressibility, asperity, and the like.

Inventors:
MISRA SUDHANSHU (US)
ROY PRADIP K (US)
Application Number:
PCT/US2004/009535
Publication Date:
October 14, 2004
Filing Date:
March 25, 2004
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
NEOPAD TECHNOLOGIES CORPORATIO (US)
ROY PRADIP K (US)
International Classes:
B24B37/04; B24B49/02; B24B53/007; (IPC1-7): B24B37/04; B24B53/007; B24B49/02
Domestic Patent References:
WO2002102549A12002-12-27
Foreign References:
US5599423A1997-02-04
US5655951A1997-08-12
US6364742B12002-04-02
US20020197934A12002-12-26
US5599423A1997-02-04
Attorney, Agent or Firm:
Cerpa, Robert K. (755 Page Mill Road Palo Alto, CA, US)
Download PDF:
Claims:
CLAIMS We claim:
1. A method of customizing a polishing pad for chemical mechanical planarization of a substrate, the method comprising: obtaining one or more characteristics of a structure on a substrate; and selecting a value for one or more chemical or physical properties for a pad to be used in chemical mechanical planarization of the substrate based on the obtained one or more characteristics of the structures on the substrate.
2. The method of claim 1, wherein the one or more characteristics of a structure includes a size of the structure.
3. The method of claim 1, wherein the one or more characteristics of a structure includes a pattern density of the structure.
4. The method of claim 1, wherein the one or more characteristics of a structure includes film material and a number of different materials.
5. The method of claim 1, wherein one or more chemical or physical properties for the pad includes hardness, thickness, surface grooving, porosity, thickness, Youngs modulus, compressibility, or asperity of the pad.
6. The method of claim 1, wherein selecting a value for one or more chemical or physical properties for a pad comprises: performing a simulation of planarization of the substrate with a model of a CMP process using the pad with a range of values for the one or more chemical or physical properties of the pad; and selecting a value for the one or more chemical or physical properties based on the simulation.
7. The method of claim 6, further comprising: providing a pattern density and a deposition bias as inputs to the model of a CMP process.
8. The method of claim 6, further comprising: obtaining a planarization length from the model of a CMP process; and performing a sensitivity analysis to determine a correlation between planarization length and the one or more chemical or physical properties of the pad.
9. The method of claim 8, wherein the value for the one or more chemical or physical properties is selected based on the determined correlation between planarization length and the one or more chemical or physical properties of the pad to optimize planarization length.
10. The method of claim 6, further comprising: identifying dishing and/or erosion from the model of a CMP process; and performing a sensitivity analysis to determine a correlation between the one or more chemical or physical properties of the pad and dishing and/or erosion.
11. The method of claim 10, wherein the value for the one or more chemical or physical properties is selected based on the determined correlation between the one or more chemical or physical properties of the pad and dishing and/or erosion to reduce dishing and/or erosion.
12. The method of claim 6, further comprising: identifying overpolishing and/or underpolishing from the model of a CMP process; and performing a sensitivity analysis to determine a correlation between the one or more chemical or physical properties of the pad and overpolishing and/or underpolishing.
13. The method of claim 12, wherein the value for the one or more chemical or physical properties is selected based on the determined correlation between the one or more chemical or physical properties of the pad and overpolishing and/or underpolishing to reduce over polishing and/or underpolishing.
14. The method of claim 1, wherein the structure is an optoelectronic device.
15. The method of claim 1, wherein the substrate is a magnetic disk, an optical disk, a ceramic substrate, or a nanocomposite substrate.
16. A method of customizing a polishing pad for chemical mechanical planarization of a serniconductor wafer, the method comprising: obtaining one or more characteristics of a chip; performing a simulation of a chemical mechanical planarization of the wafer with a model of a CMP process using the obtained one or more characteristics of the chip and a range of values for the one or more chemical or physical properties of the pad; and selecting a value for one or more chemical or physical properties for a pad based on the simulation.
17. The method of claim 16, wherein the one or more characteristics of the chip includes a pattern density of the chip.
18. The method of claim 17, wherein one or more chemical or physical properties for the pad includes hardness, thickness, surface grooving, porosity, thickness, Youngs modulus, compressibility, or asperity of the pad.
19. The method of claim 16, further comprising: obtaining a planarization length from the model of a CMP process; and performing a sensitivity analysis to determine a correlation between planarization length and the one or more chemical or physical properties of the pad.
20. The method of claim 19, wherein the value for the one or more chemical or physical properties is selected based on the determined correlation between planarization length and the one or more chemical or physical properties of the pad to optimize planarization length.
21. The method of claim 16, further comprising: identifying dishing and/or erosion from the model of a CMP process; and performing a sensitivity analysis to determine a correlation between the one or more chemical or physical properties of the pad and dishing and/or erosion.
22. The method of claim 21, wherein the value for the one or more chemical or physical properties is selected based on the determined correlation between the one or more chemical or physical properties of the pad and dishing and/or erosion to reduce dishing and/or erosion.
23. The method of claim 16, further comprising: identifying overpolishing and/or underpolishing from the model of a CMP process; and performing a sensitivity analysis to determine a correlation between the one or more chemical or physical properties of the pad and overpolishing and/or underpolishing.
24. The method of claim 23, wherein the value for the one or more chemical or physical properties is selected based on the determined correlation between the one or more chemical or physical properties of the pad and overpolishing and/or underpolishing to reduce over polishing and/or underpolishing.
25. A method of customizing of tribological or material properties of a pad used in a chemical mechanical polishing (CMP) process to planarize a metal or dielectric film having varying topographic or material characteristics on a substrate, the method comprising: compensating for pattern density effects for different chip architecture during the CMP process; and optimizing a derived planarization length, response characteristics for dishing and/or erosion, or final step height at specific pattern features to attain local and global planarization.
26. The method of claim 25, wherein the optimization is performed during planarization of a silicon integrated circuit.
27. The method of claim 25, wherein the optimization is performed during planarization of an optoelectronic device.
28. The method of claim 25, wherein the optimization is performed during planarization of a magnetic or optical disk.
29. The method of claim 25, wherein the optimization is performed during planarization of film on a ceramic or nanocomposite substrate.
30. A polishing pad for chemical mechanical planarization of a semiconductor wafer, the pad having: one or more chemical or physical properties, wherein a value for the one or more chemical or physical properties is selected based on one or more characteristics of the chip.
31. The pad of claim 30, wherein the value for the one or more chemical or physical properties is selected by: obtaining a pattern density of the chip; performing a simulation of a chemical mechanical planarization of the wafer with a model of a CMP process using the obtained pattern density of the chip and a range of values for the one or more chemical or physical properties of the pad; and selecting a value for one or more chemical or physical properties based on the simulation.
Description:
CUSTOMIZED POLISH PADS FOR CHEMICAL MECHANICAL PLANARIZA-, RION CROSS-REFERENCE TO RELATED APPLICATION [0001] This application claims the benefit of U. S. Provisional Application No. 60/45"7, 273, titled CHIP CUSTOMIZED POLISH PADS FOR CHEMICAL MECHANICAL PLANARIZATION (CMP), filed March 25,2003, the entire content of which is incorporated herein by reference.

BACKGROUND 1. Field of the Invention [0002] The present application relates to polishing pads for chemical mechanical planarization (CMP) of substrates and, more particularly, to polishing pads customized for structures on the substrates.

2. Related Art [0003] Chemical mechanical planarization (CMP) is used to planarize films on substrates, such as individual layers (dielectric or metal layers) during integrated circuit (IC) fabrication on a semiconductor wafer. CMP removes undesirable topographical features of the film on the substrate, such as metal deposits subsequent to damascene processes, or removal of excess oxide from shallow trench isolation steps.

[0004] CMP utilizes a reactive liquid medium and a polishing pad surface to provide the mechanical and chemical control necessary to achieve planarity. Either the liquid or the polishing surface (pad) can contain nano-size inorganic particles to enhance chemical reactivity and/or mechanical activity of the CMP process. The pad is typically made of a rigid, micro-porous polyurethane material capable of achieving both local and global planarization.

[0005] Conventional open-pore and closed-pore polymeric pads with essentially homogeneous tribological, chemical and frictional characteristics were previously suitable for CMP, until the introduction of 250 nm CMOS technology. For sub 250 nm technology with increased design complexity and associated chip pattern density variations, especially with increased chip size, the chip yields, device performance and device reliability have deteriorated significantly. Recent attempts by various pad vendors to change the thickness (stacked and unstacked) and surface grooving (perforated, K-groove, X-Y groove, and K-groove/X-Y groove combinations) of the pads have failed to address the impact that chip pattern density, chip size, complexity of architecture, and dielectric/metal process flow have on chip-level uniformity directly impacting chip yield, device performance and reliability of integrated circuits.

SUMMARY [0006] In one exemplary embodiment, a polishing pad for chemical mechanical planarization of a film on a substrate is customized by obtaining one or more characteristics of a structure on a substrate. For example, when the structure is a chip formed on a semiconductor wafer, the one or more characteristics of the structure can include chip size, pattern density, chip architecture, film material, film topography, and the like. Based on the one or more characteristics of the structure, a value for the one or more chemical or physical properties of the pad is selected. For example, the one or more chemical or physical properties of the pad can include pad material hardness, thickness, surface grooving, pore size, porosity, Youngs modulus, compressibility, asperity, and the like.

DESCRIPTION OF DRAWING FIGURES [0007] The present application can be best understood by reference to the following description taken in conjunction with the accompanying drawing figures, in which like parts may be referred to by like numerals: [0008] Fig. 1 depicts an exemplary polishing pad used in a chemical mechanical planarization (CMP) process; [0009] Figs. 2A and 2B depict an exemplary deposition layer formed on an underlying layer; [0010] Figs. 3A and 3B depict dishing and erosion in a metal deposited within a trench in a dielectric layer; [0011] Figs. 4A and 4B depict positive and negative deposition bias; and [0012] Fig. 5 depicts an exemplary planarization length.

DETAILED DESCRIPTION [0013] The following description sets forth numerous specific configurations, parameters, and the like. It should be recognized, however, that such description is not intended as a limitation on the scope of the present invention, but is instead provided as a description of exemplary embodiments.

[0014] With reference to Fig. 1, an exemplary polishing pad 102 for chemical mechanical planarization (CMP) processing of a semiconductor wafer 104 is depicted. To planarize a layer formed on wafer 104, a holder 106 holds wafer 104 on pad 102 while wafer 104 and pad 102 are rotated. As described above, in a typical CMP process, a reactive liquid medium (a slurry) is also used to enhance the CMP process. It should be recognized, however, pad 102 can be used for CMP processing of film on various types of structures and various types of substrates, such as optoelectronic devices, magnetic or optical disks, ceramic and nano- composite substrates, and the like.

[0015] In one exemplary embodiment, pad 102 is customized based on one or more chemical or physical properties of a structure on a substrates, such as a chip on wafer 104. It should be recognized that the one or more characteristics of the chips can be obtained from actual chips formed on a wafer. Alternatively, the one or more characteristics of the chips can be obtained from a design for chips to be formed on a wafer.

[0016] In the present exemplary embodiment, the one or more characteristics of a structure on the substrate are obtained. For example, when the structure is a chip formed on a wafer, the one or more characteristics of the chip can include chip size, pattern density, chip architecture, film material, film topography, and the like. Based on the one or more characteristics of the structure, a value for the one or more chemical or physical properties of the pad is selected.

The one or more chemical or physical properties of the pad can include pad material hardness, thickness, surface grooving, pore size, porosity, Youngs modulus, compressibility, asperity, and the like. The one or more chemical or physical properties of the pad also includes tribological or material properties, which can include one or more of the examples previously set forth.

[0017] For example, assuming that the structure is a chip and the substrate is a wafer, a pad for smaller chip size (e. g. , less than 1 sq cm in area, notably less than 0.5 sq cm) can have different values for the one or more chemical or physical properties than for larger chip size (greater than 1 sq cm in area). One property of the pad that can be selected based on the chip size is the pad material hardness. In particular, harder pad material (e. g. , hardness greater than 90D shore, notably greater than 60D shore hardness) is used for larger chip size than for smaller chip size. Another property of the pad that can be selected based on chip size is pore size. In particularly, smaller pore size is used for larger chip size than for smaller chip size.

Still another property of the pad that can be selected based on chip size is porosity. In particular, smaller porosity is used for larger chip size than for smaller chip size. Yet another property of the pad that can be selected based on chip size is asperity. In particular, a smaller asperity with larger distribution is used for larger chip size than for smaller chip size.

[0018] Also, the pattern density of a chip can affect the film removal amount and the uniformity within a chip and across a wafer. (See, T. Lung, "A Method for die-scale<BR> simulation for CMP planarization, "in Proc. SISPAD conf. , Cambridge, MA, Sept. 1997.) With reference to Fig. 2A, underlying features 202, such as metal lines, of a deposited film 204 can create high regions 206 and low regions 208 in the topography. In particular, topography is strongly dependent on pattern density in copper based dual damascene structures because of the nature of electroplating in trenches that have different widths across a chip and the chemistry associated with the additives used in the electroplating process. In general, high regions 206 in the topography polish faster than the low regions 208. As depicted in Fig. 2A, an initial step height 210 is associated with deposited film 204 before polishing. As depicted in Fig. 2B, a final step height 212 is associated with deposited film 204 after polishing. The differential rate for high regions 206 and low regions 208 removal, indicated by the difference in initial step height 210 and final step height 212, is a figure of merit for planarization. The larger this difference, the better the planarity after the CMP process.

[0019] One factor influencing planarity is the pad bending or viscoelastic behavior of most cross-linked polyurethane thermosets and elastomeric materials during the CMP process.

Thus, a pad for lower pattern density can have different properties than for higher pattern density.

[0020] For example, lower pattern density exists for smaller chip size, such as a pattern density of less than 30 percent. Higher pattern density exists for larger chip size, such as a pattern density of greater than 50 percent. One property of the pad that can be selected based on the pattern density is the pad material hardness. In particular, harder pad material (e. g., hardness greater than 90D shore, notably greater than 60D shore hardness) is used for chips with higher pattern density than with lower pattern density. Another property of the pad that can be selected based on pattern density is asperity or asperity distribution. In particular, a smaller asperity and/or larger asperity distribution is used for higher pattern density than for lower pattern density.

[0021] The film material can also affect the uniformity within a chip and across a wafer.

In particular, dishing and/or erosion can occur in a CMP process involving multiple film materials because the different materials can have different polishing rates. For example, with reference to Fig. 3A, a metal line 302 deposited within a trench in a dielectric layer 304 is depicted. With reference to Fig. 3B, dishing of metal line 302 is depicted as a deviation in height 306 of metal line 302 from planarity with dielectric layer 304. Also, erosion of dielectric layer 304 is depicted as a deviation in height 308 of dielectric layer 304 from its intended height. Dishing and/or erosion can exist in shallow trench isolation (STI), tungsten plug, and dual damascene process for copper based interconnects. Also, when copper is used, an additional film material is used as a barrier layer between the copper and the dielectric material. Because different film materials can have different polishing rates, dishing and/or erosion occur. Additionally, dishing and/or erosion can be aggravated when the CMP process involves over-polishing.

[0022] Thus, when multiple film materials are used, a value for the one or more properties of the pad can be selected to reduce dishing and/or erosion. For example, a pad for greater numbers of different materials can have different properties than for fewer numbers of different materials. One property of the pad that can be selected based on the number of different material is the pad material hardness. In particular, to reduce dishing and/or erosion, harder pad material (e. g. , hardness greater than 90D shore, notably greater than 60D shore hardness) is used for greater numbers of different materials than for fewer numbers of different materials.

[0023] It should be recognized that the one or more characteristics of the chips on the wafer can vary in different regions on the wafer. Thus, in one exemplary embodiment, the one or more chemical or physical properties of the pad are varied in different regions on the wafer.

For example, pattern density can vary from the center of the wafer to the edge of the wafer. In particular, because a wafer is typically circular and chips are designed to be either square or rectangular, there are regions on the wafer along the circumference area that have low or no pattern density. Thus, a pad can have a variation in one or more chemical or physical properties of the pad from the center of the wafer to the edge of the wafer.

[0024] In one exemplary embodiment, a value for the one or more chemical or physical properties of the pad can be selected based on one or more characteristics of the structure on the substrate by performing a simulation using a model of the CMP process. The simulation is performed using the one or more obtained characteristics of the structure and a range of values for the one or more chemical or physical properties of the pad. The model of the CMP process used in the simulations provides the effects of varying the values of the one or more chemical or physical properties of the pad on the planarization of the substrate. From the simulation, a correlation can be obtained between the one or more chemical or physical properties of the pad and the planarization of the substrate. Thus, a value for the one or more chemical or physical properties of the pad can be selected to optimize planarization of the substrate.

[0025] For example, assuming the structure is a chip and the substrate is a wafer, a pattern density dependent analytic model can be used in the simulation. (See, B. Stine, et al.,"Rapid Characterization and modeling of pattern dependent variation in chemical polishing,"IEEE Transactions on Semiconductor Manufacturing, vol. 11, pp 129-140, Feb. 1998; and D. O.

Ouma, eta al. ,"Characterization and Modeling of Oxide Chemical Mechanical Polishing Using<BR> Planarization Length and Pattern Density Concepts, "IEEE Transactions on Semiconductor<BR> Manufacturing, vol. 15, no. 2, pp 232-244, May 2002. ) It should be recognized, however, that various types of models of the CMP process can be used.

[0026] One input to the model is the pattern density of the chips on the wafer. As noted above, the pattern density can be obtained from actual chips formed on the wafer or from chip design or architecture.

[0027] Another input to the model is a deposition bias associated with the layers of material deposited on the wafer. The deposition bias indicates the variation between the actual deposition profile"as deposited"and the predicted deposition profile"as drawn."For example, the pattern density"as deposited" (i. e. , the pattern density that actually results on the<BR> chip may not necessarily reflect the pattern density"as drawn" (i. e. , the pattern density a. s intended in the design of the chip). This is due, in part, to the fact that during the IC processing steps, the film (either metal or insulating dielectrics) transfer the pattern in different ways depending on the deposition process used (e. g. , electroplated, thermal chemical vapor depsotion-CVS, physical vapor deposition-PVD, plasma enhanced (PE), atmospheric (AP) or low pressure (LP) or subatmospheric (SA) chemical vapor deposition-PECVD, APCVD, LPCVD, SACVD, spin coating, atomic layer deposition-AVD, and the like). Each of these processing methods can affect the underlaying pattern density differently. For example, PECVD deposited films have a negative bias compared to SACVD deposited films.

Furthermore, the types of film (fluorine doped silicate glass, FSG, compared to undoped silicate glass USG or Si02) have different effects on the pattern density. As depicted in Figs.

4A and 4B, Si02 or USG films can have a positive bias 402, while FSG films have a negative bias 404.

[0028] As another input to the model, a set of test wafers can be polished using pads having different values for the one or more obtained properties. Film thicknesses and profiles of the planarized chips on the test wafers are obtained, such as final step height at specific pattern features and total indicated range (TIR-the maximum minus minimum measured thickness within a chip), which are then used as inputs to the model.

[0029] Based on the inputs, the model calculates an average or effective pattern density across a chip using a fast Fourier transform (FFT). Based on the effective pattern density, post-CMP film thickness and profile across patterned chips can be predicted, such as step height and TIR.

[0030] The model can also provide a calculation of a planarization length associated with a pad. Although definitions of planarization length (PL) vary, with reference to Fig. 5, one possible definition is as a characteristic length scale 502, a circle of which radius ensures uniformity of film thickness within 10 percent of the value at that certain location. As an example, a PL of 5 mm means all features (high and low) within 5 mm of any location within a chip are planarized with film thickness variation within 10 percent. Essentially, a high PL is desirable for best planarity. Thus, PL is a figure of merit for a pad performance. A PL of 5 mm is well suited for a chip size, say 5 mm x 5 mm, but not for a chip size of 15 mm x 15 mm (large chip size). The result will be non-uniformity of the film that gets severe upon film buildup as multi layers are deposited, and the result is loss of printing of device features, ultimately resulting in yield loss.

[0031] After planarization length is obtained from the model, a sensitivity analysis can be used to correlate the planarization length to the one or more chemical or physical properties of the pad. This correlation can then be used to select a value for the one or more chemical or physical properties of the pad to optimized planarization length.

[0032] The model can also identify dishing and/or erosion that may result from a CMP process. In particular, the model predicts the location and amount of dishing and/or erosion that may result on the chip. A sensitivity analysis can be used to correlate dishing and/or erosion to the one or more chemical or physical properties of the pad. This correlation can then be used to select a value for the one or more chemical or physical properties of the pad to minimize dishing and/or erosion.

[0033] The model can also identify over-polishing and/or under-polishing that may result from a CMP process. In particular, the model predicts the location and amount of over- polishing and/or under-polishing that may result on the chip. A sensitivity analysis can be used to correlate over-polishing and/or under-polishing to the one or more chemical or physical properties of the pad. This correlation can then be used to select a value for the one or more chemical or physical properties of the pad to minimize over-polishing and/or under-polishing.

[0034] A pad with the selected value for the one or more properties of the pad can be produced by adjusting the chemical formulations of the pad (e. g. , use of extending agents, curing agents and cross linkers). For example, polish pads are preferably polyurethane based pads that may be either thermoplastic or thermosets. (See, A. Wilkinson and A. Ryan, "Polymer Processing and Structure Development, "Kluwer Academic publishers, 1999; and R.<BR> <P>B. Seymour and C. E. Carraher, Jr. ,"Polymer Chemistry: An Introduction. ") To minimize pressure induced pad deformation, it is desirable to formulate rigid polyurethane foams. A desirable formulation chemistry involves a polyol-isocyanate chemistry. The pads are desired to be porous; howver, they can be rigid as well, and can contain pores or can be formed without pores. Typical isocyantes can be TDI (toluene di-isocyanate), PMDI (polymeric methylene di phenyl isocyanate). Polyols can be PPG (polypropylene glycol), PEG (polyethylene glycol), TMP (trimethylol propane glycol), IBOH (hydroxyl terminated isobutylene). A variety of cross linking agents such as primary, secondary and tertiary polyamines, TMP, butane 1,4 diol, triethanol amine are useful for providing polymer cross linking adding to structural hardness. Chain extending agents such as MOCA (methylene'bis' orthochloroaniline, and theylene glycol are well suited for providing long-range or short range effects at the micro level. Curative agents such as diols and triols can be used to vary polymer properties. Catalysts such as Diaza (2,2, 2) biscyclooctane facilitate reaction and affect the degree of polymerization. Surfactants are used to modulate the degree of interconnection.

[0035] In the present exemplary embodiment, validations of chemical formulations of a pad can be generated through testing in the field with wafers with test chips of varying pattern densities, linewidth and pitches that simulate small, medium and large chip products in the IC manufacturing world. One such test chip typically used industry wide is the mask set designed by MIT Microelectronics lab.

[0036] Although exemplary embodiments have been described, various modifications can be made without departing from the spirit and/or scope of the present invention. Therefore, the present invention should not be construed as being limited to the specific forms shown in the drawings and described above.