Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
CLEANING METHOD AND SOLUTION FOR CLEANING A WAFER IN A SINGLE WAFER PROCESS
Document Type and Number:
WIPO Patent Application WO/2006/132989
Kind Code:
A2
Abstract:
The present invention is a method of use of a novel cleaning solution in a single wafer cleaning process. According to the present invention the method involves using a cleaning solution in a single wafer mode and the cleaning solution comprises at least ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2) water (H2O) and a chelating agent. In an embodiment of the present invention the cleaning solution also contains a surfactant. Moreover, the present invention also teaches a method of combining an ammonia hydroxide, hydrogen peroxide, and chelating agent step with a short HF step in a fashion that minimizes process time in a way that the entire method removes aluminum and iron contamination efficiently without etching too much oxide. The single wafer cleaning processes may also be used to increase the yield of high-grade reclaimed wafers.

Inventors:
RAYANDAYAN RONALD (US)
VERHAVERBEKE STEVEN (US)
WANG HONG (US)
Application Number:
PCT/US2006/021503
Publication Date:
December 14, 2006
Filing Date:
June 02, 2006
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
RAYANDAYAN RONALD (US)
VERHAVERBEKE STEVEN (US)
WANG HONG (US)
International Classes:
C11D1/29; H01L21/306; C11D1/72; C11D3/02; C11D3/04; C11D3/30; C11D3/32; C11D3/33; C11D3/39; C11D7/06; C11D7/18; C11D7/26; C11D7/32; C11D11/00; H01L21/00; H01L21/304
Foreign References:
US20020102852A12002-08-01
US20040063227A12004-04-01
EP1205968A22002-05-15
US20030045131A12003-03-06
Attorney, Agent or Firm:
BERNADICOU, Michael, A. et al. (SOKOLOFF TAYLOR & ZAFMAN LLP, 12400 Wilshire Boulevard, 7th Floo, Los Angeles CA, US)
Download PDF:
Claims:

IN THE CLAIMS

We claim:

1. A method, comprising:

cleaning a reclaimed semiconductor wafer by a batch process; and cleaning the reclaimed semiconductor wafer in a single wafer cleaning tool after cleaning the reclaimed semiconductor wafer by the batch process.

2. The method of claim 1, wherein cleaning the reclaimed semiconductor wafer by the batch process comprises a batch pre-clean process and a batch final clean process.

3. The method of claim 1, wherein cleaning the reclaimed semiconductor wafer by the batch process comprises a batch pre-clean process.

4. The method of claim 1, wherein cleaning the reclaimed semiconductor wafer in the single wafer cleaning tool comprises applying a cleaning solution to the wafer while applying megasonic energy to the wafer.

5. The method of claim 4, wherein the cleaning solution comprises hot deionized water.

6. The method of claim 4, wherein the cleaning solution comprises an HF rinse followed by a solution comprising NH 4 OH, H 2 O 2 ,and H 2 O, and a chelating agent.

7. The method of claim 6, wherein the chelating agent comprises ethylenediaminediorthohydroxyphenylacetic acid (EDDTA).

8. The method of claim 6, wherein the cleaning solution further comprises a surfactant.

9. The method of claim 6, wherein the cleaning solution comprises SCl and SC2.

10. The method of claim 4, wherein the cleaning solution further comprises an additive to interfere with the electrical force holding particles to the surface of the wafer.

11. The method of claim 1, further comprising applying an electrical potential to the wafer while cleaning the wafer in the single wafer cleaning tool.

12. A method of cleaning a wafer, comprising: placing a semiconductor wafer in a single wafer cleaning tool; dispensing a cleaning solution on the wafer; and applying an electrical potential to the wafer while the cleaning solution is on the wafer.

13. The method of cleaning the wafer of claim 12, wherein the wafer is a reclaimed wafer.

14. The method of cleaning the wafer of claim 12, further comprising batch cleaning the wafer before placing the wafer in the single wafer cleaning tool.

15. The method of cleaning the wafer of claim 12, further comprising applying megasonic energy to the wafer while applying the electrical potential to the wafer.

16. The method of cleaning the wafer of claim 12, wherein the electrical potential is applied to the wafer for a time in the approximate range of 10s and 20s.

17. The method of cleaning the wafer of claim 12, wherein the cleaning solution further comprises an additive to interfere with the electrical force holding particles to the surface of the reclaimed wafer.

18. The method of cleaning the wafer of claim 12, wherein the cleaning solution further comprises a corrosive additive to break down the interface between particles and the reclaimed wafer.

Description:

CLEANING METHOD AND SOLUTION FOR CLEANING A WAFER IN A

SINGLE WAFER PROCESS

BACKGROUND OF THE INVENTION

[0001] This application claims the benefit of U.S. Provisional Application No. 60/214,116 filed June 26, 2000 and U.S. Application No. 09/891,730 filed June 25, 2001 entitled CLEANING METHOD AND SOLUTION FOR CLEANING A WAFER IN A SINGLE WAFER PROCESS.

BACKGROUND OF THE INVENTION

1. FIELD OF THE INVENTION

[0002] The present invention relates to the field of semiconductor processing and more specifically to a cleaning solution and a method of using the cleaning solution in a single wafer cleaning process.

2. DISCUSSION OF RELATED ART

[0003] Wet etching and wet cleaning of silicon wafers is usually done by immersing silicon wafers into a liquid. This is also sometimes done by spraying a liquid onto a batch of wafers. Wafer cleaning and etching is traditionally done in a batch mode where several wafers (e.g. 50-100 wafers) are processed simultaneously. A typical cleaning sequence consists of HF-SC1-SC2. HF (HydroFluoric acid) is a dilute HF solution used for etching thin layers of oxide. This is typically followed by the Standard Clean 1 (SCl solution) that consists of a mixture of NH 4 OH, H 2 O 2 and H 2 O. Sometimes the SCl solution is also called the APM solution, which stands for Ammonia hydrogen Peroxide Mixture. The SCl

solution is mainly used for removing particles and residual organic contamination. The SCl solution, however, leaves metallic contaminants behind. [0004] The final solution is the Standard Clean 2 solution (SC2) that is a mixture of HCl, H 2 O 2 and H 2 O. Sometimes the SC2 solution is also called the HPM solution, which stands for Hydrochloric acid hydrogen Peroxide Mixture. The SC2 solution is mainly used for removing metallic contamination. The particular sequence of SCl and SC2 is most often referred to as the RCA (Radio Corporation of America) cleaning sequence. Between the HF, SCl, and SC2 solutions there is usually a DI (de-ionized) water rinse. There is usually a DI water rinse after the SC2 solution.

[0005] The total time for a standard clean cycle is on the order of 64-70 minutes as shown in Figure Ia. The HF step takes approximately 1-5 minutes. The SCl step typically takes 10 minutes and the SC2 step also typically takes 10 minutes. The intermediate and final DI water rinse steps take about 8-10 minutes. The final drying of the wafers typically takes between 10-15 minutes. Typically 50-100 wafers are processed at the same time. If separate baths are used for different chemicals then after one batch with 50-100 wafers leaves a bath, a new batch 50- 100 wafers can be loaded. Usually the rate limiting step is the dryer which takes up to 15 min. This means that roughly every 15 minutes a new batch of either 50- 100 can be processed resulting in an overall throughput for the system of between 200- 400 wafers per hour, respectively for batches of 50 or 100 wafers. [0006] Because there is a need for shorter cycle times in chip manufacturing, there is a need for a fast single wafer cleaning process. In order to make a single wafer cleaning process economical, the processing time per wafer should be on the order of two minutes. This means the entire HF-SC 1-SC2 sequence, which normally requires about 64-70 minutes, must be completed within two minutes and at least within three minutes. Unfortunately, presently it is not possible to perform an SCl- SC2 cleaning sequence in less than two minutes and at least within three minutes. Until now, wet processing is usually done in a batch mode, since the throughput of single wafer processing cannot compete with batch processing. [0007] Thus, what is desired is a method of reducing the SCl and SC2 cleans from the normal processing time to less than or equal to 1-1/2 minutes. It is also

required to reduce the time necessary for the HF step and the dry. The present invention shows how to reduce the time of the SC1-SC2 sequence from roughly 40 min down to 1-1/2 min for use in a single wafer fashion and at least less than three minutes for the entire cleaning cycle including HF, cleaning, rinsing and drying. The cleaning of reclaimed wafers has also been done in the past using batch cleaning. In a typical process of reclaiming a test wafer, the wafer is first chemically polished to remove any films from the surface of the semiconductor wafer. The wafer is then polished using chemical mechanical polishing to further remove any films from the surface with a slurry and a mechanical polishing pad. The wafer is then cleaned by a batch cleaning method where multiple wafers are immersed in cleaning solutions in baths where the wafers are lined up vertically in rows. These methods typically result in various grades of reclaimed wafers that have different levels of contamination in the form of particles and residues. The source of much of the contamination is due to cross-contamination from the batch cleaning processes. The yield of high-grade reclaimed wafers is therefore low.

SUMMARY OF THE INVENTION

[0008] The present invention is a method of use of a novel cleaning solution in a single wafer cleaning process. According to the present invention the method involves using a cleaning solution in a single wafer mode and the cleaning solution comprises at least ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), water (H 2 O) and a chelating agent. In an embodiment of the present invention the cleaning solution also contains a surfactant. In another embodiment of the present invention the cleaning solution also includes a dissolved gas such as H 2 . The same cleaning solution containing ammonium hydroxide, hydrogen peroxide, a chelating agent, and/ or a surfactant and/ or dissolved hydrogen may also be used in a multiple wafer mode for certain applications. The present invention is also a DI water rinse solution that includes an oxidant and CO 2 gas. AU of these elements work in combination to improve processing efficiency.

[0009] Moreover, the present invention also teaches a method of combining an ammonia hydroxide, hydrogen peroxide, and chelating agent step with a short HF

step in a fashion that minimizes process time in a way that the entire method removes aluminum and iron contamination efficiently without etching too much oxide.

The single wafer cleaning processes may also be used to increase the yield of high-grade reclaimed wafers.

BRIEF DESCRIPfION OF THE DRAWINGS

[0010] Figure Ia is a time-line showing the traditional HF-SC 1-SC2 wet bench procedure for an oxide etch and a hydrophilic clean (RCA clean.)

[0011] Figure Ib is a time-line showing the cleaning process of the current invention for an oxide etch and hydrophilic clean in a single wafer cleaning tool.

[0012] Figure 2a is a structure of a common chelating agent.

[0013] Figure 2b is a structure of a common chelating agent that has bound metal ions at its ligand sites.

[0014] Figures 3a -3d are structures of specific chelating agents that are particularly useful in the current invention.

[0015] Figure 4a is an illustration of an hydroxide terminated silicon dioxide film.

[0016] Figure 4b is an illustration of a silicon dioxide film terminated by metal ions.

[0017] Figure 5 is an illustration showing the surfactant attached to a particle in solution and to the surface of a wafer.

[0018] Figure 6a is an illustration of a cross-sectional view of a single wafer cleaning apparatus.

[0019] Figure 6b is an illustration showing the covering of the entire surface area of a plate with transducers.

[0020] Figure 6c is an illustration showing how the transducers covered plate of Figure 6b covers the entire surface area of a wafer being cleaned.

[0021] Figure 6d is an illustration showing a close-up the venturi device that can be used in the single wafer cleaning apparatus.

[0022] Figure 7a is an illustration of a membrane device that can be used in the single wafer cleaning apparatus.

[0023] Figure 7b is a cross sectional illustration of a modified membrane that may be used in the membrane device of Figure 7a.

[0024] Figure 7c is an illustration of how the modified membrane works.

[0025] Figure 8 is a flow-chart of the first embodiment of an HF etch and cleaning process for use in a single wafer cleaning apparatus.

[0026] Figure 9 is a flow-chart of the second embodiment of an HF etch and cleaning process for use in a single wafer cleaning apparatus.

[0027] Figure 10a is an illustration of a silicon wafer with an oxide layer before an HF etching step.

[0028] Figure 10b is an illustration of a silicon wafer with a hydrophobic silicon surface after an HF etching step.

[0029] Figure 11 is a flow-chart of a cleaning process in a single wafer cleaning apparatus after an O 2 ashing step.

[0030] Figure 12 is a flow-chart of a cleaning process employing a short HF etching step.

[0031] Figure 13a is an illustration of the silicon dioxide film on a silicon wafer before a short HF etching step and Figure 13b is the silicon wafer after a short HF etching step.

Figures 14 and 15 are flow-charts of embodiments of cleaning a reclaimed wafer.

Figure 16 is a flow-chart of a method of cleaning a wafer including the application of an electrical potential to the wafer.

DETAILED DESCRIPTION OF THE PRESENT INVENTION

[0032] In the following description numerous specific details are set forth in order to provide a thorough understanding of the present invention. One of ordinary skill in the art will understand that these specific details are for illustrative purposes only and are not intended to limit the scope of the present invention. Additionally, in other instances, well-known processing techniques and equipment have not been set forth in particular detail in order to not unnecessarily obscure the present invention.

[0033] The present invention is a method, a solution, and a rinse for use in a single wafer cleaning process. The method is specifically useful for single wafer cleaning, but it may also be used in applications where more than one wafer is cleaned at a time. The novel cleaning solution is formulated in such a way as to increase the efficiency of the cleaning process. Both the cleaning solution and the rinsing solution are specifically useful for the removal of ionic metallic impurities and particles during the front of the line semiconductor processing sequence when the active regions of the device are exposed.

[0034] The wafer cleaning solution of the present invention consists of the solution resulting from the mixture of ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), water (H 2 O), a chelating agent, and a surfactant. As well known in the art these compounds only dissociate into their respective ions and no chemical

reactions occur among these compounds. The ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), and water (H 2 O) are present in concentrations defined by dilution ratios of between 5/1/1 to 1000/1/1, respectively. The ammonium hydroxide/hydrogen peroxide ratio can also be varied between 0.05/1 and 5/1 and in some cases no hydrogen peroxide is used at all. The ammonium hydroxide in this cleaning solution would be from a solution of 28 -29% w / w of NH 3 to water. The hydrogen peroxide in this cleaning solution would be from a solution of 31 -32 % w / w of H 2 O 2 to water.

[0035] The purpose of the ammonium hydroxide and the hydrogen peroxide in the cleaning solution is to remove particles and residual organic contaminates from a wafer that comprises a monocrystalline silicon substrate on at least its front end. The purpose of the cleaning solution is also to oxidize the surface of the wafer. According to the preferred embodiment of the present invention the cleaning solution has an alkaline pH level due to the ammonium hydroxide and the hydrogen peroxide of between 9 and 12 and more specifically between 10 and 11. [0036] The purpose of the chelating agent is to remove metallic ions from the wafer. Chelating agents are also known as complexing or sequestering agents. These agents have negatively charged ions called ligands that bind with free metal ions and form a combined complex that will remain soluble. The ligands bind to the free metal ions as follows:

M x+ + L y - → M - y)+ L

This is demonstrated in Figure 2a and Figure 2b with the common chelating agent ethylenediaminetetraacetic acid (EDTA). In Figure 2a the EDTA ion is not bound to any metal ions (M x+ ). In Figure 2b it is shown that one EDTA can bind up to six metal ions (M x+ ). Common metallic ions that would be present on the wafer are copper, iron, nickel, aluminum, calcium, magnesium, and zinc. Other metallic ions may also be present.

[0037] Suitable chelating agents include polyacrylates, carbonates, phosphonates, and gluconates. There are several specific chelating agents that would be particularly useful as part of the cleaning solution. They are: ethylenediaminetetraacetic acid (EDTA) (see Figure 2a), N,N'- bis(2- hydroxyphenyl) ethylenediiminodiacetic acid (HPED) (see Figure 3a),

triethylenetetranitrilohexaacetic acid (TTHA) (see Figure 3b), desferriferrioxamin B (see Figure 3c), N,N\N"-tris[2-(N- hydroxycarbonyl)ethyl]-l,3,5- benzenetricarboxamide (BAMTPH) (see Figure 3d), and ethylenediarainediorthohydroxyphenylacetic acid (EDDHA). These chelating agents were chosen because they each had an equilibrium constant (K) greater than 10 15 , and preferably greater than 10 20 for Al 3+ . These K values were desired because they mean that the chelating agent will be able to remove aluminum from the wafer. The preferred range of concentrations for the chelating agent is between 0.001 mg/1 to 300 mg/1 and more specifically between 0.01 mg/1 to 3 mg/1. Or alternately the chelating agent should be between l-400ppm of the cleaning solution, and preferably around 40ppm of the cleaning solution. These concentrations are suitable because they enable the reduction of free metallic ions by roughly a factor of 10 6 or higher depending on the metallic ion.

[0038] During the modified SCl clean, the surface of the wafer is covered with a silicon dioxide film terminated by hydroxide groups (Si- OH) as shown in Figure 4a. Metals are bound to this surface as (Si-O) y M (X" Y)+ as shown in Figure 4b. The equilibrium reaction governing the binding (chemisorption) and unbinding (desorption) is described by the following equation:

M x+ + y(Si-OH) → (Si-O) y M (X"Y)+ + yH +

From this equation, one can see that there are two ways to remove metallic ions from the oxide surface. The first way is to increase the acidity [H+] of the solution. This produces a solution where most of the metallic ions that are common in semiconductor processing are soluble provided that there is a suitable oxidizing agent in the solution. Suitable oxidizing agents include O 2 , H 2 O 2 , and O 3 , The suitability of these ions is determined by their ability to prevent the reduction of any ions in the solution, such as copper (Cu 2+ .) Increasing the acidity and having a suitable oxidizing agent present is the method used by the most common metallic impurity removing solution, i.e. SC2.

[0039] The second way of removing metallic ions from the oxide surface is to decrease the free metal ion concentration [M x+ ] in the solution. The free metal ion concentration of the solution may be decreased by adding a chelating agent to the solution. The same level of metal ion impurity removal found through the use of the

SC2 solution may be achieved though the use of a chelating agent in the SCl solution (the modified SCl solution) by meeting two requirements. The first requirement is that the complex of the chelating agent and the bound metal ion remains soluble. The second requirement is that the chelating agent binds to all the metal ions removed from the wafer surface.

[0040] Chelating agents may be added to the solution at two distinct points. First, the agents may be added to the SCl solution itself before the solution is dispensed onto the silicon wafer. Second, the agents may be added to concentrated NH 4 OH at the chemical manufacturing plant and shipped as a mixture to the integrated circuit manufacturer.

Alternatively, the chelating agents may be added to the H 2 O 2 at the manufacturing plant. This, however, is less desirable because many chelating agents are slowly oxidized by H 2 O 2 .

[0041] The advantages of using chelating agents to remove metallic impurities are that they do not require an acidic environment and that they reduce the overall cleaning time. Other methods of removing metal ions, such as the SC2 solution, require an acidic environment. Traditionally, acidic environments were required for the removal of metal ions therefore requiring that the metal ion removal step be done separately from the SCl step. This is because the SCl solution is very alkaline. Chelating agents work in very alkaline environments, allowing them to be added to the SCl solution. By combining the metal ion removal with the SCl cleaning step the overall cleaning time is reduced by eliminating the SC2 step. In the traditional SC1-SC2 cycle each step took about ten minutes. Because this cycle is typically repeated many times in the front end of semiconductor processing, the combination of the steps will dramatically reduce the cleaning time.

[0042] In an alternate embodiment the cleaning solution contains a surfactant. The purpose of the surfactant is to prevent reattachment or redeposition of particles on the wafer after they have been dislodged from the wafer. Preventing the reattachment of the particles is important because allowing the particles to reattach increases overall cleaning times. Therefore the surfactant is used to reduce the

cleaning time and to make single wafer cleaning possible in less than two minutes as compared to 64 minutes in a batch type method (see Figure Ia and Figure Ib).

[0043] Surfactants are long hydrocarbon chains that typically contain a hydrophilic (polar water soluble group) and a hydrophobic group (a non- polar water insoluble group). The surfactants attach with their non-polar group to particles 500 (Figure S) as well as to the surface of the wafer 510. As a result the polar group of the surfactant 520 will point away from the wafer and away from the particles 500 towards the solution. Because of this the particles in the solution that are bound by the surfactant will be repelled electrostatically from the surface of the wafer because of the polar groups of the surfactant on both the particles and the surface of the wafer as in Figure 5. The surfactant in the present invention is non- ionic, anionic, or a mixture of non-ionic and anionic compounds. Non-ionic means that the polar end of the surfactant has an electrostatic rather than an ionic charge and anionic means that the polar end of the surfactant has a negative ionic charge. In an embodiment of the present invention the surfactant is a mixture of non- ionic and anionic surfactants. The nonionic surfactant is polyoxyethylene butylphenyl ether and the anionic surfactant is polyoxyethylene alkylphenyl sulfate. In this embodiment, there are approximately 30ppm of nonionic surfactant and approximately 30ppm of anionic surfactant in the cleaning solution. A typical concentration range of the surfactant in the cleaning solution can be between 1- lOOppm. In an embodiment of the present invention the surfactant is an anionic compound called MCX-SD2000 manufactured by Mitsubishi Chemical Corporation of Tokyo Japan. MCX-SD2000 is around 1-10% surfactant and is used in a 0.05% concentration by volume in the cleaning solution.

[0044] The cleaning solution of the present invention is ideal for use in a single wafer cleaning apparatus that utilizes acoustic or sonic waves to enhance a cleaning, such as apparatus 600 shown in Figure 6a. Single wafer cleaning apparatus 600 shown in Figure 6a includes a plate 602 with a plurality of acoustic or sonic transducers 604 located thereon. Plate 602 is preferably made of aluminum but can be formed of other materials such as but not limited to stainless steel and sapphire. The plate is preferably coated with a corrosion resistant fluoropolymer such as Halar. The transducers 604 are attached to the bottom surface of plate 602

by an epoxy 606. In an embodiment of the present invention the transducers 604 cover substantially the entire bottom surface of plate 602 as shown in Figure 6b and preferably cover at least 80% of plate 602. In an alternate embodiment of the present invention there are four transducers 604 covering the bottom surface of plate 602 in a quadrant formation and preferably covering at least 80% of plate 602. The transducers 604 preferably generate megasonic waves in the frequency range above 350 kHz. The specific frequency is dependent on the thickness of the wafer and is chosen by its ability to effectively provide megasonics to both sides of the wafer. But there may be circumstances where other frequencies that do not do this may be ideal for particle removal. In an embodiment of the present invention the transducers are piezoelectric devices. The transducers 604 create acoustic or sonic waves in a direction perpendicular to the surface of wafer 608. [0045] A substrate or wafer 608 is horizontally held by a wafer support 609 parallel to and spaced-apart from the top surface of plate 602. In an embodiment of the present invention, wafer 608 is held about 3mm above the surface of plate 602 during cleaning. In an embodiment of the present invention, the wafer 608 is clamped face up to wafer support 609 by a plurality of clamps 610. Alternatively, the wafer can be supported on elastomeric pads on posts and held in place by gravity. The wafer support 609 can horizontally rotate or spin wafer 608 about its central axis at a rate of between 0-6000 rpms. Additionally, in apparatus 600 wafer 608 is placed face up wherein the side of the wafer with patterns or features such as transistors faces towards a nozzle 614 for spraying cleaning chemicals thereon and the backside of the wafer faces plate 602. Additionally, as shown in Figure 6c the transducer covered plate 602 has a substantially same shape as wafer 608 and covers the entire surface area of wafer 608. Apparatus 600 can include a sealable chamber 601 in which nozzle 614, wafer 608, and plate 602 are located as shown in Figure 6a.

[0046] In an embodiment of the present invention DI water (DI-H 2 O) is fed through a feed through channel 616 of plate 602 and fills the gap between the backside of wafer 608 and plate 602 to provide a water filled gap 618 through which acoustic waves generated by transducers 604 can travel to substrate 608. In an embodiment of the present invention the feed channel 616 is slightly offset from the

center of the wafer by approximately lmm. The backside of the wafer may alternately be rinsed with other solutions during this step. In an embodiment of the present invention DI water fed between wafer 608 and plate 602 is degassed so that cavitation is reduced in the DI water filled gap 618 where the acoustic waves are strongest thereby reducing potential damage to wafer 608. DiH 2 O can be degassed with well known techniques at either the point of use or back at the source, such as at facilities. In an alternative embodiment of the present invention, instead of flowing DiH 2 O through channel 616 during use, cleaning chemicals, such as the cleaning solution of the present invention can be fed through channel 616 to fill gap 618 to provide chemical cleaning of the backside of wafer 608, if desired. [0047] Additionally during use, cleaning chemicals and rinsing water such as

DiH 2 O are fed through a nozzle 614 to generate a spray 620 of droplets that form a liquid coating 622 on the top surface of wafer 608 while wafer 608 is spun. In the present invention the liquid coating 622 can be as thin as 10 micro meters. In the present invention tanks 624 containing cleaning chemicals such as diluted HF, de- ionized water (DI-H 2 O), and the cleaning solution of the present invention are coupled to conduit 626 which feeds nozzle 614. In an embodiment of the present invention the diameter of conduit 626 has a reduced cross-sectional area or a "venturi" 628, that is shown in more detail in Figure 6d, in a line before spray nozzle 614 at which point a gas from tank 630 that travels through conduit 640, such as H 2 , is dissolved in the cleaning solution 650 as it travels to nozzle 614. "Venturi" 628 enables a gas to be dissolved into a fluid flow 650 at gas pressure less than the pressure of the liquid flowing through conduit 626. The Venturi 628 creates under pressure locally because of the increase in flow rate at the Venturi. In an alternate embodiment gases are dissolved into the cleaning solution by a hydrophobic contactor device 700 as shown in Figure 7a. This contactor device 700 is put into the conduit 626. Contactor device 700 has a hydrophobic membrane conduit 710 which allows gasses to pass through but not water. Gas 720 is fed into membrane conduit 710 where the gas dissolves into the liquid passing through the area 730. [0048] Additionally, if desired, apparatus 600 can include a second nozzle (not shown) separate from nozzle 614 for blowing N 2 gas and/ or isopropyl alcohol (IP A) vapor onto the frontside of wafer 608 during rinsing and/ or drying steps. An

IP A vapor can be formed by passing N 2 gas through a bubbler containing IP A. Such a process will typically produce a vapor of approximately 4% IP A in N 2 . Additionally, the distance which wafer 608 is held from plate 602 by wafer support 609 can be increased (by moving either support 609 or plate 602) to free the backside of the wafer 608 from liquid filled gap 618 to enable the wafer to be rotated at very high speed, such as during drying operations. Set forth below are four embodiments of the present invention in the front end of wafer processing where the use of the single wafer cleaning process is particularly useful. A first embodiment is when a hydrofluoric acid wash is used to strip the oxide surface of a wafer. A second embodiment is when it is desired to make the surface of the wafer hydrophobic. A third embodiment is after an O 2 ashing. A fourth embodiment is when it is desired to remove all aluminum and/ or iron contamination from the surface of the wafer. In each of these embodiments the entire cleaning process including rinsing and drying takes less than two minutes and the cleaning step where the cleaning solution is used takes less than 30 seconds. In each case, the wafer will typically include an outer silicon surface, such as but not limited to a monocrystalline silicon substrate, an epitaxial silicon film, and a polycrystalline silicon (polysilicon) film. A thin oxide film, such as a sacrificial oxide or a native oxide is typically formed on the outer silicon surface. It is to be appreciated, however, that the cleaning process of the present invention can be used to clean other types of wafers and substrates, such as but not limited to gallium arsinide (GaAs) wafers.

[0049] The first embodiment of the present invention where the use of the single wafer cleaning tool and process is particularly useful is a combination of using hydrofluoric acid (HF) to strip an oxide surface of a wafer and using the modified cleaning solution as described above to clean the wafer in less than two minutes. This application is illustrated by a flow-chart in Figure 8. In the first step 800 the wafer is placed in the single wafer cleaning tool. A substrate or wafer requiring cleaning is clamped face up to wafer support 610. Next, the wafer is subjected to an HF step 810. During the HF step 810 the wafer is spun at a rate between 10-2000 rpm, and preferably 100-1000 rpm, as diluted HF is fed through nozzle 614 and sprayed onto the top surface of wafer 608 to form an HF

solution cover 622 over the entire front side of wafer 608. The HF solution may have a concentration in the range of 5 -1000 parts water to one part HF. The HF solution is comprised of preferably 50 parts DI water to one part HF. The HF that is diluted in the HF solution is typically purchased from the manufacturer as 49% w / w HF to water. The wafer is exposed to the HF solution for between 20-50 seconds, and preferably 30 seconds. The wafer is exposed to the HF solution for a time sufficient to etch either a sacrificial oxide (typically around 50-200A) or a native oxide (typically around 1OA.) Simultaneously to feeding HF onto the top of the wafer, water or HF is fed through feed 616 to fill the gap between the backside of wafer 608 and plate 602 to clean the backside of the wafer. Other solutions can be used here on the backside of the wafer. Alternatively to HF (Hydrofluoric acid), BHF (Buffered Hydrofluoric acid) can be used. Additionally, if desired, a voltage can be applied to the transducers 604 to send megasonic waves through plate 602, through water filled gap 618, through wafer 608 and into coating 622 during the HF step.

[0050] After between 20-50 seconds the flow of HF is stopped and the wafer is exposed to a DI water rinse step as setforth in step 820. During the DI water rinse step 820 DI water is fed through nozzle 614 while wafer 608 is rotated at between 10-1000 rpm and transducers 604 are optionally active to rinse wafer 608. The rinse temperature is typically approximately between 19-23 0 C, and may be heated. During the water rinse step 820, the backside of wafer 608 can also be rinsed by flowing DI water into gap 618.

[0051] Prior to being fed through nozzle 614 the DI water rinse can be

oxygenated or ozonated at point of use by dissolving O 2 or O 3 gas into the rinse water. This may be done with a venturi device as described above (Figure 6d) or with a membrane device as described above (Figure 7a). Dissolved oxygen (O 2 ) or ozone (O 3 ) is added to the rinse in a concentration of greater than 1 ppm to serve as an oxidant. Alternatively H 2 O 2 may be added to the rinse in a concentration of greater than lOOppm to serve as an oxidant. Whichever oxidant is used, it should have an oxidation potential sufficient to oxidize the most noble metal in the solution. Copper (Cu2+), with a standard reduction potential of 0.3 V, is usually the

most noble metal present. Therefore a standard reduction potential of greater than 0.5V is desired. O 2 or O 3 will solvate the metal ions and prevent precipitation by oxidizing the metal ions that are in solution. This will help decrease the processing time by making the rinsing more effective. The use of ozone or oxygen is also efficient and cost effective. In an embodiment of the present invention, the DI rinse water is degassed prior to dissolving O 2 or O 3 into the rinse water.

[0052] Ozonated water can be formed by dissolving ozone (O 3 ) in degassed water or DI water. Ozone is generated at point-of-use from oxygen by passing oxygen through two discharge plates. One of the discharge plates is covered with an insulator and an alternating current is applied to the discharge plates. The alternating current creates small discharges between the plates that will form ozone from the oxygen passing through the plates. The preferred concentration of dissolved ozone is between 1 ppm and 200 ppm, and most preferably between 2 ppm and 20 ppm. Alternatively the rinse may be saturated with the gas. Oxygenated water is formed by dissolving oxygen or air into deoxygenated water or DI water. Additionally, although it is preferred to use ozonated or oxygenated DI water during the rinsing of a wafer in a single wafer process, one can also use ozonated or oxygenated DI water in an immersion rinse bath of a batch type tool if desired.

[0053] In an embodiment of the present invention, prior to being fed through nozzle 114 the rinse may also have CO 2 dissolved into it to dissipate static electricity that builds up in the rinse water. Static electricity builds up in the rinse water because of the rotation of the wafer between 10-1000 rpm. Without dissolved CO2 deionized water is resistive, but with dissolved CO 2 deionized water is conductive. CO 2 also makes the rinse water more acidic and therefore reduces any metallic contamination. The CO 2 can be dissolved into the rinse water with a contactor device 700 similar to that shown in Figure 7a. Contactor device 700 includes a conduit or plurality of conduits 710 formed from a membrane stack 780 shown in Figure 7b. CO2 gas 720 is fed into the conduit 710 formed of the membrane stack 780. The contactor device 700 also includes spaced-apart areas 730 between the conduit 710 through which DI water 650 flows. In this way, a large surface area is achieved with CO2 gas and DI water. The membrane stack 780 in contactor device

700 is a combination of a porous polymeric membranes 750 and a solid very thin flouropolymer sheet 740, such as a PFA sheet as shown in a cross sectional view in Figures 7b. The thin solid membrane 740 prevents impurities in the CO 2 gas from dissolving into the liquid. The thicker porous membrane 750 acts as a support for the thin membrane 740. The thicker porous membrane 750 has pores 760 on the order of 0.05 um. An example of a suitable contactor device 700, is the Infuzor made by Pall Corporation, Port Washington, New York. The polymeric membranes 740 and 750 are impermeable to liquids but permeable to gases. The membrane stack 780 is used to prevent any impurities in the CO 2 from ending up in the rinse water. CO 2 typically has organic impurities because it is a byproduct of the petroleum industry. The first membrane 740 is a very thin membrane that allows at least CO 2 to diffuse through, but does not allow any organic impurities to diffuse through. In an embodiment of the current invention, shown in Figure 7c, the DI rinse water 650 will flow along the thick membrane 750 and the CO 2 gas 720 will flow along the thin membrane 740. The CO 2 gas 795, minus any impurities, diffuses through the stacked membrane 780 and dissolves into the DI rinse water 650. In an embodiment of the present invention, CO 2 is dissolved into DI water in an amount sufficient to dissipate static electricity. In an embodiment of the present invention, the amount of CO 2 dissolved into the DI water is sufficient to decrease the resistivity of the DI water to less than 5 Megaohm.cm. The CO 2 may also be dissolved into the rinse water using a venturi device as described above. [0054] The rinse may also have isopropyl alcohol (IPA), or any other liquid with a surface tension lower than that of water, added to it. IPA aids by making the rinse spread out over the surface of the wafer so that the chemicals are removed more quickly. The IPA also helps the rinse spin off of the wafer during spinning. Alternatively, IPA vapor can be blown onto the wafer frontside by a second separate nozzle while rinsing to assist the rinse. The DI water rinse step is meant to remove the chemical from the etching and/or cleaning step and to replace these chemicals with pure DI water. The removal of chemicals from the wafer happens through a combination of convection and diffusion. Closer to the wafer surface, chemicals are removed by the rinsing DI water by diffusion only. The diffusion rate of chemicals close to the wafer surface is dependent upon the boundary layer thickness. The

boundary layer thickness can be made small by spinning the wafer at high rotation rates. In an embodiment of the present invention, IPA vapor is directed at the wafer surface. This IPA vapor reduces the boundary layer and pushes the remaining chemicals and DI water away from the surface. This is an very efficient way of shortening the rinse. Additionally, if desired, megasonic energy can be applied while rinsing the wafer in step 820.

[0055] After a rinsing sufficient to remove all HF and to stop the etching of the oxide surface (usually between 10-50 seconds, and preferably about 20 seconds) the flow of DI water is stopped. The rinse step is efficient because the centrifugal force created by spinning the wafer helps to quickly remove the rinse. [0056] Next, as set forth in step 830, the wafers are cleaned with the cleaning solution of the present invention. The cleaning solution of the present invention comprising ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), water (H 2 O), a chelating agent such as those discussed above, and a surfactant such as those discussed above, is sprayed by nozzle 614 onto the top surface of wafer 608 in the chemical cleaning step 830. In an embodiment the temperature of the cleaning solution is between 40-85°C. At this time, the back-side of the wafer is also cleaned with the cleaning solution, or alternately with another solution, such as DI water. While the cleaning solution is sprayed onto the wafer 608, the wafer 608 is rotated at a rate between 10-200 rpm to form a thin coating 622 of cleaning solution over the top of wafer 608. Wafer 608 is exposed to the cleaning solution for between 30 and 60 seconds and preferably for a time less than 90 seconds. The transducers 604 produce acoustic waves while flowing the cleaning solution of the present invention onto wafer 608. The transducers 604 produce acoustic waves that travel through plate 602, through liquid filled gap 618, and through wafer 608 and into cleaning solution coating 622 on wafer 608 to enhance the cleaning of wafer 608. It is to be appreciated that the megasonic waves entering the water filled gap 618 also help to clean the backside of wafer 608.

[0057] hi an embodiment of the current invention a combination of the cleaning solution and megasonics will allow for a dramatic particle and metal contaminant removal. In this embodiment the wafer is exposed to the cleaning solution for approximately 30 seconds and megasonics are applied to the wafer.

Before the cleaning step the surface of the wafer can have greater than 1000 contaminant particles that are each larger than 0.1 μm in size. After the cleaning step in this embodiment, the surface of the wafer can have less than 50 contaminant particles that are each larger than 0.1 μm in size. In this embodiment the wafer surface can start out with greater than 1 x 10" metallic atoms/ cm 2 before the cleaning step, and after the cleaning step the wafer surface can end with less than 1 x 10 10 metallic atoms/cm 2 (excluding aluminum atoms) on the surface of the wafer after the cleaning step.

[0058] In an embodiment of the cleaning process of the present invention H 2 gas is dissolved into the cleaning solution while the cleaning solution is fed through conduit 126. H 2 gas is dissolved into the cleaning solution to provide cavitation (bubble creation) to the cleaning solution coating 122 on the wafer 108. roviding cavitation to the cleaning solution of the present invention enhances the cleaning of the top surface of wafer 108. Although H 2 is the preferred gas other suitable cavitation gases such as nitrogen (N 2 ), helium (He), Argon (Ar) or oxygen (O 2 ) can also be used. Dissolving a gas into the cleaning solution accelerates cleaning processes that utilize acoustic or sonic waves to enhance cleaning. Dissolving gas molecules into the cleaning solution makes acoustic enhancement more efficient by improving the cavitation behavior of the cleaning solution. In the present invention between 0.01 to 20 mg/1 of H 2 is dissolved into the cleaning solution, and most preferably about 0.1 to 5 mg/1 of H 2 . Alternatively, between 1 and 20 mg/1 of Oz can be dissolved into the cleaning solution.

[0059] After sufficient cleaning of wafer 608 in step 830 the flow of the cleaning solution of the present invention is stopped and the wafer rinsed once again with DI water as set forth in rinse step 840. O 2 or O 3 dissolved in the rinse is especially useful in guaranteeing that all chelating agents and surfactants are removed during this rinse step. O 2 or O 3 , as well as H 2 O 2 may be added to the rinse as described above to serve as an oxidant. CO 2 and isopropyl alcohol (IPA) may also be dissolved in this rinse as described above. The backside of the wafer can also be rinsed at this time by flowing DiH 2 O into gap 618. The wafer is rinsed for around 20 seconds or more. The rinsing step after the cleaning solution is meant to

remove all the chemicals from the wafer surface, i.e. ammonium hydroxide, hydrogen peroxide, the chelating agent, and surfactants. [0060] Next, as set forth in step 850, the wafer is dried. The wafer is then dried by spinning at very high rotation speeds between 100-6000 rpm, preferably around 3000 rpm, for about 20 seconds and using the air flow around the wafer to dry the wafer. If desired, N 2 and/ or EPA vapor may be blown on the wafer to assist in drying the wafer. Typically, the rotation rate of the wafer during the drying step is greater than the rotation rate of the wafer during the rinse step. After drying, the wafer is then removed from the single wafer cleaning tool. The above described process of the present invention is able to complete a full cleaning cycle including HF etching, rinsing, chemical cleaning, rinsing, and drying in less than three minutes and preferably in less than two minutes as illustrated in Figure Ib. The clean wafer can then undergo a high temperature thermal process step, typically greater than 400 0 C, such as but not limited to a gate oxidation step, a chemical vapor deposition (CVD) step, or an anneal step.

[0061] The second embodiment of the current invention, shown in Figure 9 in flow chart form, is a process which can be used to make the surface of the wafer hydrophobic. Instead of performing the HF step and then the chemical cleaning step, as set forth in Figure 8, this process places the cleaning step before the HF step. Performing the HF step after the cleaning step leaves the surface of the wafer hydrophobic at the end of the cleaning process. All of the same details as described above with respect to Figure 8 apply to the respective steps in the current process. The wafer is first placed in the single wafer cleaning tool by clamping the wafer face up to wafer support 610 (step 900.) The wafer is then spun as described above. The cleaning solution of the present invention, that optionally contains a cavitation gas, is then fed through nozzle 614 onto the top surface of wafer 608 as set forth in chemical cleaning step 910. Next, the wafer is rinsed in step 920 with a rinse that optionally contains an oxidant and/ or CO 2 as described above. Megasonics may also be applied during the rinse. Once rinsed, a HF solution is dispensed onto the wafer in HF step 930. This solution will strip the silicon dioxide layer 1000 (Figure 10a) from the pure silicon surface 1010. After the HF step 930, the pure silicon surface looks like Figure 10b. The silicon surface 1010 in Figure 10b is

hydrophobic because of the hydrogen and fluorine termination groups. After the HF step 930 there is an optional rinse step 940. A hydrophobic surface, e.g., is useful when either a cobalt sputter or gate oxidation is done after the cleaning process. After the last wet step (either the HF step 930 or the rinse step 940) the wafer is dried, in drying step 950, in a manner set forth above. The wafer is then removed from the single wafer cleaning tool and processed in another single wafer tool, such as, for example, a cobalt deposition chamber or a gate oxidation chamber. [0062] A third embodiment of the present invention, as shown in the flow chart in Figure 11, is the use of the single wafer cleaning tool after an O 2 ashing of the wafer to remove a photoresist. Most O 2 plasma ashing steps are carried out in a single wafer mode and it is therefore very useful to have a single wafer cleaning method instead of a batch cleaning method after a O 2 plasma ashing step. AU of the same details as described above apply to the respective steps in the current process. The most significant difference in this process compared to the others disclosed in the present invention is that there is no HF step. There is no HF step is because the oxide surface on the wafer is needed in subsequent processing. In this application, after the O 2 ashing step 1100, a wafer having an outer oxide film on a silicon surface is placed in the single wafer cleaning tool face up as described above and the wafer is spun. Next, as set forth in chemical cleaning step 1110, the wafer is cleaned with the cleaning solution of the present invention as described above. Megasonic can be applied to the wafer to aid in the cleaning of the wafer. Before dispensation, the cleaning solution may optionally have a cavitation gas dissolved into it. The cleaning solution is then dispensed onto the wafer as the wafer is rotated in step 1110. The cleaning solution removes the ash residue left by the ashing step and removes most metals and chlorine from the surface of the wafer that the ashing does not remove. In this embodiment the cleaning step may exceed 30 seconds. With the elimination of the HF step the cleaning step 1110 may be longer and the entire cleaning process may still be done within two minutes. After the cleaning step, the wafer is rinsed in step 1120 with a rinse solution optionally containing an oxidant and/ or CO 2 as described above. Next, the wafer is dried in step 1130 by spinning at high speeds as described above. After this cleaning process, where an oxide layer is

left on the surface of the wafer, any application where the silicon of the wafer needs to be protected, such as ion implantation, is suitable.

[0063] In the fourth embodiment of the present invention the single wafer cleaning tool is used to remove all aluminum and iron contamination from the surface of the wafer. An embodiment of this invention is shown in the flow charts of Figure 12. This embodiment uses a very short HF step that etches away only about 0.5-5A of a thermal oxide on the wafer silicon surface. This quick etching in combination with the cleaning step will quickly remove all aluminum and iron contamination, as well as any other contaminants, from the surface of the wafer within approximately 30-40 seconds. Without the short HF step, the cleaning solution alone would take approximately 10 minutes to remove all of the aluminum and iron. The wafer can be contaminated with around 2 x 10" atoms/ cm 2 of aluminum ions after being in an ion implanter or in an etching chamber. The present cleaning application will reduce that concentration of aluminum and iron atoms to around 1-5 x 10 10 atoms/ cm 2 . In the embodiment shown in Figure 12, the short HF step 1230 is immediately before the cleaning step 1240 and there is no rinse between the HF step 1230 and the cleaning step 1240.

[0064] In this embodiment to remove all aluminum contamination from the surface of wafer, the wafer is first placed in a single wafer cleaning tool in step 1200 after being contaminated with aluminum and iron in, for example, either an ion implant chamber or an etching chamber. At this point, as shown in Figure 13a, there is a thin oxide layer 1300 on the surface of the silicon wafer 1310. Once loaded into the single wafer cleaning tool, the wafer is spun and optionally rinsed. As set forth in step 1210, the optional rinse may optionally contain an oxidant and/ or CO 2 as discussed above. Megasonics may also be applied during this optional rinse. If no initial rinse is used, then the wafer after being loaded into the single wafer machine is spun and HF is dispensed on the spinning wafer for less than five seconds and preferably for 2-3 seconds as set forth in step 1230. If an initial rinse is used, HF is dispensed for 2-3 seconds on top of the rinse water on top of the spinning wafer. The cleaning solution of the present invention is then immediately dispensed on top of the HF solution on top of the wafer in chemical cleaning step

1240 to produce an HF covered wafer. The cleaning solution consists of a mixture of ammonium hydroxide, hydrogen peroxide in water with a chelating agent added. Additionally, a surfactant may be added as discussed above. The cleaning solution quickly neutralizes the HF solution and stops the etching. Because the cleaning step quickly neutralizes the HF solution, the oxide film 1300 is only slightly etched, and an oxide 1320, as shown in Figure 13b, which is only 0.05-5 A thinner remains after the cleaning step in step 1240. Because of the quick neutralization and the elimination of a rinse step, an HF step followed immediately by a cleaning step increases the efficiency of the HF etch quenching. A cavitation gas may optionally be dissolved into the cleaning solution before it is dispensed on the wafer. After the cleaning step 1240 the wafer is rinsed in step 1250 as described above. After the wafer is sufficiently rinsed it is dried in drying step 1260 by spinning the wafer at high speed as set forth above. The wafer is then removed from the chamber and thermally processed in a single wafer furnace. When using a single wafer furnace, it is very useful to have a single wafer cleaning method instead of a batch cleaning method. The thermal processes are typically performed at temperatures exceeding 400°C. The thermal process may be an anneal, a chemical vapor deposition (CVD), or an oxidation. All metals must be removed from the surface of the wafer before any thermal processing steps because the metals will become embedded in the wafer during the thermal processing.

[0065] It is to be appreciated that although the cleaning process of the present invention is ideally carried out in an apparatus 600 as shown in Figure 6a the cleaning process of the present invention can utilize other cleaning apparatuses. For example, the acoustic energy need not necessarily be applied from the bottom of the wafer but can also be applied to the front side. Additionally, the acoustic devices need not necessarily cover the entire surface area of the wafer 600 but may only cover a portion if desired. Still, the acoustic energy can be applied directly to nozzle 614 so that the droplets contained by nozzle 614 contain acoustic waves. In fact, although preferred acoustic energy is not required during cleaning. Similarly, the cleaning solution of the present invention need not necessarily be sprayed onto top surface of the wafer but can also be dispensed onto the wafer by a constant stream of liquid. Furthermore, the cleaning solution can also be supplied at the

same time onto the front of the wafer and the backside of the wafer as well as onto the edge. Although ideally situated for a single wafer process, a solution comprising NH 4 OH, H 2 O 2 , H 2 O, a chelating agent, and a surfactant, according to the present invention can also be used in an immersion bath for a batch type cleaning process and provide improved cleaning. It is to be appreciated that the disclosed specific embodiments of the present invention are only illustrative of the present invention and one of ordinary skill in the art will appreciate the ability to substitute features or to eliminate disclosed features.

hi another embodiment, the single wafer cleaning tool 600 may be used to clean reclaimed wafers to increase the grade of the reclaimed wafers and the overall yield of useful reclaimed wafers. Reclaimed wafers are semiconductor wafers that were used as monitor or test wafers. These wafers may be reclaimed for another use. hi this embodiment, a reclaimed semiconductor wafer is first cleaned by a batch process followed by a clean in the single wafer cleaning tool 600 of Figure 6a. Prior to the batch cleaning, any films, such as test layers, are removed from the reclaimed wafers by chemically stripping the films from the wafers. The test layers may include metals such as copper or aluminum as well as dielectric materials such as silicon dioxide or various low-k dielectric materials. The reclaimed wafers are then chemically mechanically polished to remove any remaining portions of the films and to planarize the surface of the reclaimed wafers. The batch process serves to remove most of the remaining contaminants and large particles from the pure semiconductor surfaces after the chemical mechanical polishing to remove the test layers. The contaminants and particles remaining on the surface of the reclaimed wafers after being cleaned by the batch process may be residues from the chemical mechanical polishing process, from the slurry, the polishing pads, or contaminants from the clean room air. The batch cleaning processes may be performed within a class 100 or a class 10 clean room. After the batch process cleaning of the reclaimed wafers, the wafers may be dried by a marangoni dry or by a spin dry. The reclaimed wafers are then placed individually within the single wafer cleaning tool 600 to remove any remaining contaminants and particles from their surfaces. The reclaimed wafer within the single wafer cleaning tool 600 may be cleaned by deionized water, by cleaning solutions

containing various chemicals, or by a combination of deionized water and/ or cleaning solutions. The cleaning solution used to clean the reclaimed wafer within the single wafer cleaning tool may be selected depending on the batch cleaning processes used, as will be described below. Megasonic energy may be applied to the reclaimed wafer during the cleaning process within the single wafer cleaning tool 600. An electrical potential may also be applied to the reclaimed wafer during the cleaning process within the single wafer cleaning tool 600 to aid in the removal of very small particles having a diameter of less than 65 run.

In one embodiment, as illustrated by the flow chart of Figure 14, a reclaimed wafer is cleaned by a process containing a batch pre-clean of the reclaimed wafer at block 1401 after chemical mechanical polishing, a batch final clean of the reclaimed wafer at block 1402, and a clean within the single wafer cleaning tool at block 1403. In this embodiment, the batch process used to clean the reclaimed wafer includes two batch cleans. The batch pre-clean process that occurs after chemical mechanical polishing of the reclaimed wafer may typically include a series of steps including submersion within a bath containing an SCl cleaning solution, a quick dump rinse (QDR) where the SCl solution is dumped out, submersion within a bath containing an SC2 cleaning solution followed by a quick dump rinse, a final deionized water rinse, and a drying step. The quick dump rinse may alternatively be an overflow rinse (OFR) using deionized water. The batch processes may be performed on rows of reclaimed wafers that are vertically aligned on their sides. The reclaimed wafers may be arranged within a Marangoni apparatus for the batch cleaning. The drying step may be a spin-dry of the reclaimed wafers or a Marangoni dry. The batch final clean performed after the batch pre-clean is similar to the batch pre-clean. The typical series of steps in the final batch clean include submersion of the reclaimed wafers within an SCl cleaning solution to remove organic materials and particles, a rinse of the reclaimed wafers, submersion of the reclaimed wafers within an SC2 solution to remove ionic and heavy metal contaminants, a rinse, and a drying step. Variations of the batch pre-clean and the batch final clean may include more SCI cleaning steps along with more rinsing steps. In another variation, there may be a dilute hydrofluoric acid in deionized water rinse before the SCI cleaning step. The

temperature of the batch cleaning baths may vary. In an embodiment, the temperature of the bath during the SCl clean or the SC2 clean may be approximately 5O 0 C to 7O 0 C and the wafers may be immersed in the bath for approximately 7 minutes to 15 minutes. The use of both a batch pre-cleaning process and a batch final clean process removes most of the contaminants and residues from the test films and the chemical mechanical polishing steps prior to the batch cleans. But, there may be residues remaining on the reclaimed wafers from the chemical mechanical polishing step and from cross- contamination within the batch cleaning baths. To remove the last of the particles and contaminants, the reclaimed wafers are then cleaned within the single wafer cleaning tool 600. In this embodiment, where both a batch pre-clean and a batch final clean were performed prior to placing the reclaimed wafer within the single wafer cleaning tool 600, the reclaimed wafer may be cleaned by hot deionized water as the cleaning solution within the single wafer cleaning tool 600. The hot deionized water may have a temperature in the approximate range of room temperature and 90 0 C, and more particularly a temperature in the approximate range of 5O 0 C and SO 0 C. The use of hot DI water to clean the reclaimed wafers is valuable because it is relatively inexpensive and is easy to dispose of. Alternatively, the cleaning solution may be NH 4 OH, H 2 O 2 and H 2 O. This cleaning solution may also contain a chelating agent or a surfactant such as those described above. In particular, the chelating agent may be ethylenediarninediorthohydroxyphenylacetic acid (EDDT A). The cleaning solution may also include additives to interfere with the electrical force holding particles to the surface of the wafer or a corrosive additive to break down the interface between particles and the reclaimed wafer. Additives that may be used for this purpose include non-ionic surfactants such as those described above. An example f a non-ionic surfactant is Brij78, made by ICI Corporation. The space between the backside of the wafer and the acoustic energy plate is filled with DI water and the wafer rotation rate may be in the approximate range of 5 rpm and 50 rpm. The cleaning solution is dispensed onto the wafer until the entire surface of the wafer is coated with the cleaning solution. Acoustic energy is then applied to the backside of the reclaimed wafer through the DI water for a time in the approximate range of 20 seconds and 50 seconds. The acoustic energy may be

applied to the wafer at a high power such as 1000 W because the surface of the reclaimed wafer does not have any structures on the surface that may be damaged by the acoustic energy.

In an embodiment, an electrical potential may also be applied to the wafer while cleaning the wafer in the single wafer cleaning tool 600. This embodiment is illustrated by the flow-chart in Figure 15. In this embodiment, after placing the wafer in the single wafer cleaning tool at block 1501, and dispensing the cleaning solution onto the wafer at block 1502, an electrical potential is applied to the reclaimed wafer while the cleaning solution is on the wafer at block 1503. The application of an electrical potential to a wafer while it is being cleaned within the single wafer cleaning tool may be used in combination with any cleaning process of any type of wafer within the single wafer cleaning tool to enhance the removal of very small particles from the surface of the wafer. In an embodiment, the electrical potential is applied to the reclaimed wafer while acoustic energy is also applied to the wafer. The application of an electrical potential to the wafer may remove very small particles of less than 65nm in diameter. The acoustic energy alone may not be enough to remove such small particles because the forces created in the liquid cleaning solution against such small particles may not be large enough to move the particles off of the surface and overcome the electrical attraction between the surface and the particles. The electrical potential may be pulses of both positive and negative charges to remove particles of any charge from the surface of the reclaimed wafer. In one embodiment, the electrical potential may be applied as a sinusoidal curve or as a square wave (pulse.) The variation of the electrical potential between negative and positive may be in the range of -5V and +5V and more particularly in the approximate range of -1 V and +1 V. Li an embodiment where a square wave (pulse) is used the pulse may be at a low frequency of approximately 1 second per pulse. The electrical potential may be applied to the reclaimed wafer for approximately 10 seconds and 20 seconds. The combination of the application of the electrical potential to the wafer with a cleaning solution that includes an additive to interfere with the electrical force holding particles to the surface of the wafer may further aid in the removal of particles of less than 65nm in diameter. A corrosive additive to corrode the interface between the particles and the wafer may also be

added to the cleaning solution to improve the removal of the very small particles, particularly in combination with the application of the electrical potential to the wafer. After the application of the acoustic energy to the wafer, the cleaning solution is removed from the surface of the wafer by spinning off the solution at a high rotation rate, e.g. 1000 rpm.

In another embodiment, a reclaimed wafer may be cleaned with only a single batch clean before cleaning the reclaimed wafer in the single wafer cleaning tool. This process sequence for cleaning a reclaimed wafer is illustrated by the flow-chart in Figure 16. Because only one batch pre- clean process is used before cleaning the reclaimed wafer in the single wafer cleaning tool 600, the overall process takes less time. At block 1601 a batch pre-clean is used to clean the reclaimed wafer. In this embodiment, the batch pre-clean such as those described above may be used to clean a reclaimed wafer after the chemical stripping and chemical mechanical polishing steps. For example, the batch pre-clean may include the steps of an SCl bath, a quick dump rinse with water, an SC2 bath, a quick dump rinse, a final rinse with deionized water and a drying step. The reclaimed wafer is then cleaned within a single wafer cleaning tool at block 1602. A cleaning solution is dispensed on the wafer within the single wafer cleaning tool. The cleaning solution in this embodiment must be a cleaning solution powerful enough to remove all of the contaminants and the residues on the reclaimed wafer that may be present after a single batch pre-clean. The cleaning solution that may be used to clean the reclaimed wafer within the single wafer cleaning tool I includes SCl followed by SC2. Alternatively, the cleaning solution may be a short HF rinse to lightly etch the surface and to remove particles from the surface followed by a solution of NH 4 OH, H 2 O 2 ,and H 2 O, as described above. The NH 4 OH, H 2 O 2 ,and H 2 O cleaning solution may also include an additive to interfere with the electrical force holding particles to the surface of the wafer and may also include a corrosive additive to break down the interface between the particles and the surface of the reclaimed wafer. These cleaning solutions may be applied in combination with acoustic energy or an electrical potential applied to the surface of the reclaimed wafer. A water rinse may follow either of these cleaning solutions and the reclaimed wafer is dried by a spin- dry.

The use of the single wafer cleaning tool in combination with the batch cleaning processes may increase the yield of high grade reclaimed wafers due to the improved removal of contaminants and residues from the reclaimed wafers. The overall process of cleaning the reclaimed wafers may also be made more efficient by the integration of the single wafer cleaning tool into the cleaning process. As such, the scope of applicant's cleaning solution and cleaning methodology are to be measured by the appended claims that follow.

[0066] Thus, a novel cleaning method and solution for use in a single wafer cleaning process have been described.




 
Previous Patent: HYDROMECHANICAL TRANSMISSION

Next Patent: ACTIVE BOLSTER