Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
CMP SLURRY COMPOSITION AND PROCESS FOR PLANARIZING COPPER CONTAINING SURFACES PROVIDED WITH A DIFFUSION BARRIER LAYER
Document Type and Number:
WIPO Patent Application WO/2009/056491
Kind Code:
A1
Abstract:
A CMP slurry composition for planarizing surfaces comprising copper and a diffusion barrier layer comprising: an abrasive, an oxidizer, a corrosion inhibitor, amonomeric polyhydroxy compound, a base, the slurry composition having a pH of from 7 to 13

Inventors:
YANG KAI (US)
Application Number:
PCT/EP2008/064434
Publication Date:
May 07, 2009
Filing Date:
October 24, 2008
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
BASF SE (DE)
YANG KAI (US)
International Classes:
C09G1/02; H01L21/321
Domestic Patent References:
WO2002051955A12002-07-04
Foreign References:
US20050076578A12005-04-14
EP1445796A12004-08-11
EP1757665A12007-02-28
Attorney, Agent or Firm:
BASF SE (67056 Ludwigshafen, DE)
Download PDF:
Claims:

Claims

1. A CMP slurry composition for planarizing surfaces comprising copper and a diffusion barrier layer comprising: - an abrasive, an oxidizer, a corrosion inhibitor, a monomeric polyhydroxy compound, a base, the slurry composition having a pH of from 7 to 13.

2. The CMP slurry according to claim 1 , which does not comprise a polymeric polyhydroxy compound.

3. The CMP slurry according to claim 1 , essentially consisting of an abrasive, an oxidizer, an N-containing heterocyclic compound, a monomeric or oligomeric polyhydroxy compound. - a base, and a liquid carrier.

4. The CMP slurry according to any one of the preceding claims, wherein the abrasive comprises silica.

5. The CMP slurry according to any one of the preceding claims, wherein the abrasive is non-spherical.

6. The CMP slurry according to any of the preceding claims, wherein the abrasive has a mean diameter of from 20 to 100 nm, particularly from 25 to 80 nm.

7. The CMP slurry according to any one of the preceding claims, wherein the oxidizer comprises hydrogen peroxide.

8. The CMP slurry according to any one of the preceding claims, wherein the corrosion inhibitor is an N-containing heterocyclic compound, in particular benzotriazole, 6-tolyltriazole, 1 ,2,3-triazole, 1 ,2,4-triazole, and combinations thereof.

9. The CMP slurry according to any one of the preceding claims, wherein the mono- meric polyhydroxy compound is a dihydroxy compound having 2 to 6 C atoms.

10. The CMP slurry according to claim 9, wherein the dihydroxy compound is selected from the group consisting of ethylene glycol and propylene glycol.

1 1. The CMP slurry according to any one of the preceding claims, wherein the mono- meric polyhydroxy compound is present in the CMP slurry in an amount of 0.3 to

10 wt. %, particularly 0.4 to 5 wt. %.

12. The CMP slurry according to any one of the preceding claims, wherein the base is KOH.

13. The CMP slurry according to any one of the preceding claims, wherein the pH of the slurry is 8.5 to 11 , particularly 9 to 10.5.

14. The use of a CMP slurry according to any one of the preceding claims for planariz- ing a substrate comprising at least one metal layer comprising copper and a diffusion barrier material.

15. A method for polishing a substrate comprising at least one metal layer and at least one diffusion barrier layer, said metal layer comprising copper, comprising:

i) contacting the substrate with a chemical-mechanical polishing composition comprising: an abrasive, a corrosion inhibitor, - a monomeric polyhydroxy compound, a base, optionally, an oxidizer; ii) adjusting the pH to a value of 7 to 13, and iii) oxidizing at least a part of the at least one diffusion barrier layer, iv) abrading at least a part of the at least one metal layer comprising copper and of the at least one diffusion barrier layer to planarize the substrate.

16. The method according to claim 15, wherein the at least one metal layer comprising copper and of the at least one diffusion barrier layer is oxidized by means of a chemical oxidizer.

17. The method according to claim 15 or 16, wherein the at least one metal layer comprising copper and of the at least one diffusion barrier layer is oxidized by an electrochemical process.

18. The method according to one of claims 15 to 17, wherein the slurry according to any one of the claims 1 to 14 is used.

19. The method according to any one of claims 15 to 18, wherein the removal rate of the diffusion barrier layer is at least 100 times faster than that of the copper.

20. The method according to any one of claims 15 to 19, wherein the diffusion barrier layer comprises Ta or TaN.

21. A method for adjusting a removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) of a slurry for polishing a substrate comprising at least one metal layer, at least one diffusion barrier layer and at least one dielectric layer, said metal layer comprising copper, the method comprising adding a monomeric poly- hydroxy compound to the slurry to increase the removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) until a specified removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) is obtained,

wherein r(Ta) is the removal rate of the diffusion barrier layer, r(Cu) is the removal rate of the at least one metal layer comprising copper, and r(dielectrics) is the removal rate of the dielectric layer.

22. Use of a monomeric polyhydroxy compound for adjusting a removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) of a slurry for polishing a substrate comprising at least one metal layer at least one diffusion barrier layer and at least one dielectric layer, said metal layer comprising copper,

wherein r(Ta) is the removal rate for the diffusion barrier layer, r(Cu) is the removal rate of the at least one metal layer comprising copper, and r(dielectrics) is the removal rate of the dielectric layer.

Description:

CMP Slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer

The present invention relates to the manufacture of integrated circuits, and in particular to Chemical-Mechanical-Polishing of tantalum barrier layers used in copper metallization.

As integrated circuit devices shrink, with semiconductor device geometries approaching 0.18 micron minimum feature size, and as circuit speed and performance increase, copper has replaced aluminum as the preferred electrical interconnect material. The use of copper as an interconnect material in silicon integrated circuits has occurred in response to the need for lowered interconnect resistivity, good electromigration resistance, and good deposition characteristics which allow effective filling of vias and contacts.

Copper metallization structures are often formed by a so-called Damascene process. An insulating layer known as the Interlevel Dielectric (ILD) separates metal layers in a multilevel metallization structure. ILD dielectric layer, which may be comprised of a bottom layer and a top, low dielectric constant layer, has regions etched therein into which the metal lines will be inlaid. A barrier layer is deposited, which serves to prevent diffusion of copper from the metal lines into the dielectric. This barrier layer is generally comprised of Ta or Ta compounds. A copper seed layer is then generally deposited, followed by an electroplated copper layer. The excess copper is then removed by a process known as Chemical Mechanical Polishing (CMP). CMP enhances the removal of surface material over large distances and short distances by simultaneously mechanically abrading the surface while a chemical etchant selectively chemically attacks the surface. For this purpose, CMP utilizes a polishing slurry containing both an abrasive and a chemically active component.

Typically, in copper Damascene processing, the CMP is performed in two steps. The first CMP step removes the excess copper from the wafer surface, and may also remove part or all of the underlying barrier layer.

A second CMP step is then generally performed, with the objectives of

1 ) completely removing the Ta layer from the dielectric surface between Cu lines, and

2) planarizing the surface to compensate for Cu dishing and erosion.

To accomplish the second objective, the second CMP step must have optimal selectivi- ties among Cu, dielectrics, and barrier, thereby optimally compensating for Cu dishing created during the first-step CMP.

Of equal importance to these structural objectives is the quality of the polished surfaces, both Cu and Siθ2, with respect to both surface damage/roughness and foreign materials on the surface. Post CMP cleaning can only address removal of solid particles and ionic contamination.

Slurries designed to polish tantalum containing layers generally contain abrasives such as alumina, titania, silica, oxidizing agents such as hydrogen peroxide, potassium io- date or potassium ferricyanide, and other optional additives. If aggressive polishing methodologies are used to remove the chemically passive and mechanically hard tan- talum containing layers, generally the soft surface layers underlying the tantalum layer, such as SiC"2 or a low k material, can be damaged.

The Ta barrier polishing can result in dishing and anti-dishing depending on the polishing selectivities. Dishing and anti-dishing refer to recess and protrusion of metal inter- connects comparing to the adjacent dielectric film, respectively.

The preferred abrasive used in slurries for Ta barrier polishing is silica, although other abrasives such as alumina have been used. The advantages to using silica abrasive in place of the alumina abrasive commonly used in other CMP applications include:

1 ) increased Ta removal rate,

2) greater ability to polish the oxide dielectric film for planarization, and

3) the potential for minimizing damage to the oxide and Cu surfaces.

A typical silica abrasive slurry used for Ta barrier polishing comprises 20-200 nm diameter silica particles suspended in an aqueous medium. To avoid the problem of copper corrosion during and after polish, copper corrosion inhibiting compounds such as benzotriazole or 1 ,2,4-triazole (hereinafter referred to as "triazole"), are typically dissolved in the slurry medium, and the pH of the suspension is adjusted to a value between pH 7 and pH 10. 5, which is the range empirically found to produce the lowest corrosion rates. In Thin Solid Films 498 (2006) 60-63 the influence of different bases was studied in CMP slurries. KOH was found to be a suitable pH adjuster for colloidal silica based CMP slurries.

By-products of the polishing process result in the slurry medium contain dissolved or undissolved dielectrics, copper, and tantalum in addition to the formulating slurry ingredients.

In the prior art, two types of solid defects have been seen after CMP of copper features using silica slurries, and also after CMP of copper features using alumina slurries when Siθ2 was present. These defects include precipitates and copper stains. The use of copper corrosion inhibiting compounds (also known as "Cu passivation agents") such

as triazole compounds in the slurry has been found to greatly amplify the occurrence of these defects.

The precipitated residues, which are comprised in part of conducting materials, ad- versely affect device yield and reliability, for example by causing shorting and/or line-to- line leakage. Residues and precipitates additionally prevent the dielectric barrier from effectively sealing the top surface of the copper line, resulting in copper diffusion into the dielectric as well as providing a surface electromigration path for copper atoms.

In WO 02/051955 a slurry for etching Tantalum barriers is disclosed, the slurry containing a silica abrasive, a copper corrosion inhibitor like triazole or benzotriazole, and an organic additive which can be selected from polyvinyl alcohol (PVA), PVA-poly (vinyl acetate) co-polymer, PVA-polyethylene co-polymer, sorbitol, glycerol, polyacrylamide (PAA), ethylene glycol, di (ethylene glycol), poly (ethylene glycol) (PEG), glycerol eth- oxylate (GEO), dimethylsiloxane-ethylene oxide co-polymer (DMSiO-EO), polyethylene oxide surfactants, octylphenol polyethylene oxide, nonylphenol polyethylene oxide, polyoxyethylene lauryl ether, polyoxyethylene cetyl ether, perfluorinated analogs of polyethylene oxide surfactants, glycerol propoxylate (GPO), organic amines, N, N- diethylcyclohexylamine (DCA), and polyethyleneimine (PEI). Experimental results are provided using slurries consisting of a particular silica abrasive, triazole, di(ethylene glycol) (DEG), and water having a pH of about 9. Low molecular organic additives like DEG are described to give worse results than the polymeric additives like PEG. The use of oxidizers is not disclosed.

WO 02/059393 deals with acid based barrier CMP slurries having substantially 1 :1 removal rate for Cu and Ta/TaN to realize a one step polishing. Typical slurries described therein comprise an abrasive like silica or alumina, an oxidizer like HNO3, H2O2, a corrosion inhibitor like BTA. Besides other additives mono and polyhydroxy compounds like ethanol, propanol, or glycerol are used in the slurries. KOH may be used as a pH adjuster. Furthermore WO 02/059393 discloses basic slurries comprising a base like KOH or NH 4 OH in combination with an oxidizer like H2O2 or (NH4)2S2θs, optionally with several other additives. Polyhydroxy compounds are only used in acidic slurries.

In WO2004/033574 a slurry for planarization of copper containing surfaces, in particu- lar Cu/Ta surfaces, the slurries comprising an abrasive like silica having a mean particles size of 100 nm or more, an oxidizer like H2O2, a corrosion inhibitor like BTA, a particular amphiphilic non-ionic surfactant, and an organic acid. According to example 1 the pH is adjusted with KOH to a pH of 10. The slurries may comprise further optional components. One of these are complexing agents like di-, tri, or polyalcohols, e.g. eth- ylene glycol, pyrocatechol, pyrogallol, tannic acid, and the like.

However, although there was high progress in the design of barrier CMP slurries there is still a need for a barrier CMP slurry and process which provides very high polishing rates combined with reduced dishing and erosion.

It is therefore an object of the present invention to provide a CMP slurry which provides increased tantalum removal rates combined with low dishing of the copper layer as well as low scratching and low erosion of the dielectric layer. It is a further object of the present invention to provide a slurry for barrier CMP which can be easily adapted to a prescribed selectivity ratio of removal rate for Tantalum or TaN, dielectrics, and copper.

According to a first aspect of the present invention a slurry composition for planarizing surfaces comprising copper and a diffusion barrier layer is provided, said slurry comprising:

- an abrasive, an oxidizer, a corrosion inhibitor, a monomeric polyhydroxy compound, a base,

the slurry composition having a pH of from 7 to 13.

In this way very high barrier polishing rates can be realized. Further advantages of the present inventions become apparent from the description of the preferred embodiment below.

In a preferred embodiment the barrier CMP slurry does not comprise a polymeric polyhydroxy compound.

According to a second aspect of the present invention a method for polishing a substrate comprising at least one metal layer, said metal layer comprising copper, and at least one diffusion barrier layer is provided, the method comprising:

i) contacting the substrate with a chemical-mechanical polishing composition com- prising:

an abrasive, an N-containing heterocyclic compound, a monomeric polyhydroxy compound, - a base, optionally, an oxidizer;

ii) adjusting the pH to a value of 7 to 13, and

iii) oxidizing at least a part of the at least one metal layer and at least a part of the at least one diffusion barrier layer,

iv) abrading the at least one metal layer and at least one diffusion barrier layer to pla- narize the substrate.

According to a further aspect of the present invention a method for adjusting a removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) of a slurry for polishing a substrate comprising at least one metal layer, at least one diffusion barrier layer and at least one dielectric layer, is provided, wherein said metal layer comprising copper, the method comprising adding a monomeric polyhydroxy compound to the slurry to increase the removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) until a specified removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) is obtained, wherein r(Ta) is the removal rate of the diffusion barrier layer, r(Cu) is the removal rate of the at least one metal layer comprising copper, and r(dielectrics) is the removal rate of the dielectric layer.

A further aspect of the present invention is the use of a monomeric polyhydroxy compound for adjusting a removal rate selectivity r(Ta)/r(Cu) and/or r(dielectrics)/r(Cu) of a slurry for polishing a substrate comprising at least one metal layer at least one diffusion barrier layer and at least one dielectric layer, said metal layer comprising copper, wherein r(Ta) is the removal rate for the diffusion barrier layer, r(Cu) is the removal rate of the at least one metal layer comprising copper, and r(dielectrics) is the removal rate of the dielectric layer.

The following preferred embodiment further illustrate the invention without the intention to limit the scope of the present invention.

It is shown in

Fig. 1 the dependency of removal rate on the concentration of the monomeric polyhydroxy compound; Fig. 2 the dependency of removal rate non-uniformity on the concentration of the monomeric polyhydroxy compound.

The abrasive can have any suitable particle size and is selected from the group consisting of silica, ceria, titania, zirconia, co-formed particles thereof, polymer particles, polymer-coated particles thereof, polymer-coated alumina, and combinations thereof. Preferably, the abrasive is a silica abrasive or a polyelectrolyte-coated alumina abrasive (e. g. polystyrenesulfonic acid-coated alumina abrasive). Silica abrasives and

polymer-coated alumina abrasives are particularly desirably when polishing soft metal layers such as copper which can easily be scratched by hard abrasives such as alumina abrasives.

The abrasive typically has a mean primary particle size of 10 nm or greater, preferably 20 nm or greater, most preferably 25 nm or greater. The term "particle size" is used herein synonymously to the "mean particle diameter". The mean primary particle size typically is 1 micron or less, preferably 500 nm or less, even more preferably 100 nm or less, most preferably 80 nm or less. The abrasive preferably has a primary particle size of from 20 to 100 nm, particularly from 25 to 80 nm. The particle size can be determined by sieve analysis or optical methods like transmission electron microscopy. In doubt the reference method for determination of the mean diameter is by transmission electron microscopy.

The particles may have a monomodal, bimodal or multimodal particle size distribution, a monomodal distribution being preferred.

The abrasive may consist of one or more than one type of abrasive particles, the use of one particle type being preferred.

Preferably the abrasive comprises silica, more preferably the major amount of the abrasive is silica, most preferably the abrasive essentially consists of silica. Silica based particles are preferably produced in a sol-gel process.

The abrasive of any of the embodiments described herein is preferably colloidally stable. Colloid refers to the suspension of abrasive particles in the liquid carrier and colloidal stability refers to the maintenance of that suspension through time. In the context of this invention, an abrasive is considered colloidally stable if, when the abrasive is placed into a 100 ml graduated cylinder and allowed to stand unagitated for a time of 2 hours, the difference between the concentration of particles in the bottom 50 ml of the graduated cylinder ([B] in terms of g/ml) and the concentration of particles in the top 50 ml of the graduated cylinder ([T] in terms of g/ml) divided by the initial concentration of particles in the abrasive composition ([C] in terms of g/ml) is less than or equal to 0.8

Generally the abrasive particles can be prepared by any known method as far as the content of foreign ions is sufficiently low for semiconductor treatment. Those methods are well known in the art. The content of foreign ions in the silica is preferably below 1 ppm.

It is preferred to use colloidal silica as the abrasive. Fumed silica can also be used with high removal rates, but it generally gives higher scratch defects and less colloidal stability and is therefore less preferred.

In a preferred embodiment the colloidal silica is prepared by a sol-gel-process from tetramethyl orthosilicate (TMOS) or tetraethyl orthosilicate (TEOS). Such silica is commercially available for example from Fuso Chemicals. When using this type of silica the stability of hydrogen peroxide in the slurry is particularly high.

The abrasive can be in any suitable form. The abrasive may be spherical or non- spherical, the non-spherical shape being preferred. Non-spherical particles may for example be cocoon shaped as described in US 2005/0258139 A1 and available from Fuso . Alternatively the shape may be nodal as described in WO 03/042322 A1. Particularly cocoon-shaped particles show increased polishing rates and in particular increased ratios of polishing Ta/TaN barrier layers in relation to copper. Although the particle size of Fuso PL3 is smaller the removal rate for Ta is significantly higher than the removal rate of the reference silica whereas the copper removal rate decreases.

The polishing slurry typically comprise 0.1 wt. % to 30 wt. %, preferably 1 wt. % to 25 wt. %, even more preferably 3 wt.% to 20 wt.%, even more preferably 5 wt.% to 18 wt.%, most preferably 7 wt. % to 15 wt. % abrasive.

The barrier CMP slurries according to the present invention further comprise an oxidizer.

The oxidizer can be any suitable compound for oxidizing the barrier substrate. The oxidizer may be skipped in the slurry and substituted or complemented by an electro- chemical process. In electrochemical polishing systems, preferably the means for oxidizing the substrate comprises a device for applying a time-varying potential to the substrate as for example described in U. S. Patent No. 6,379,223.

The chemical oxidizing agent can be any suitable oxidizing agent. Suitable oxidizing agents include inorganic and organic per-compounds, bromates, nitrates, chlorates, chromates, iodates, iron and copper salts (e. g. nitrates, sulfates, ethylenediamine- tetraacetic acid (EDTA), and citrates), rare earth and transition metal oxides (e. g., osmium tetraoxide), potassium ferricyanide, potassium dichromate, iodic acid, and the like. According to the present invention a per-compound is a compound containing at least one peroxy group (-O-O-) or a compound containing an element in its highest oxidation state. Examples of compounds containing at least one peroxy group include but are not limited to hydrogen peroxide and its adducts such as urea hydrogen peroxide and percarbonates, organic peroxides such as benzoyl peroxide, peracetic acid, and di-tert-butyl peroxide, monopersulfates (SOs 2" ), dipersulfates (S2O8 2" ), and sodium peroxide. Examples of compounds containing an element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbromic acid, perbromate salts, perchloric acid, perchlorate salts, perboric acid, perborate salts, and permanga-

nates. The oxidizing agent preferably is hydrogen peroxide. The polishing system, especially the CMP system (particularly the polishing composition), typically comprises 0.01 wt. % to 5 wt. %, preferably 0.03 wt. % to 3 wt. %, more preferably 0.05 wt. % to 3 wt. %, most preferably 0.05 wt. % to 2 wt. % oxidizing agent.

The corrosion inhibitor can be any suitable corrosion inhibitor. Typically, the corrosion inhibitor is an organic compound containing a heteroatom-containing functional group. Preferably the corrosion inhibitor is an N-containing heterocyclic compound. For example, the corrosion inhibitor is a heterocyclic organic compound with at least one 5-or 6- member heterocyclic ring as the active functional group, wherein the heterocyclic ring contains at least one nitrogen atom, for example, an azole compound.

Preferably, the corrosion inhibitor is a triazole compound; more preferably, 1 ,2, 4- triazole, 1 ,2, 3-triazole, or benzotriazole. The amount of corrosion inhibitor used in the polishing system typically is 0.0001 to 3 wt. %, preferably 0.001 to 2 wt. %, most preferably 0.002 to 0.3 wt %.

Particularly preferred is Bezotriazole since it does not significantly affect the removal rate of Ta/TaN and oxide. Because Benzotriazole as well as many other corrosion in- hibitors have low solubility in water, it is preferred to first dissolve benzotriazole in the monomeric polyhydroxy compound when producing the barrier CMP slurry.

A liquid carrier is used to facilitate the application of the abrasive to the surface of a suitable substrate to-be polished and acts a suspension medium for the abrasive as well as solvent or suspension medium for the other components of the barrier CMP slurry. The liquid carrier preferably is an aqueous carrier and can be water alone, can comprise water and a suitable water-miscible solvent, or can be an emulsion. Suitable water-miscible solvents include alcohols such as methanol, ethanol, etc.

More preferably, the aqueous carrier essentially consists of water, most preferably of deionized water.

The polishing composition further comprises a base to raise the pH to a strongly basic value. At higher pH values the removal rate of dielectric films, including TEOS, FSG, and black diamond (BD) films, increases. Higher pH values also improve removal rate of tantalum and tantalum nitride films. The removal rate of copper film decreases as pH increases.

The polishing composition has a pH of from 7 to 13. It is preferred to use a slurry hav- ing a pH of 8 to 12, more preferably having a pH of 8.5 to 1 1 , most preferably having a pH of 9 to 10.5.

The base may be any strong or week base like alkali metal hydroxides, ammonia, primary, secondary or tertiary ammonium hydroxides, quaternized ammonium hydroxides like tetramethylammonium hydroxide (TMAH) etc. It is particularly preferred to use KOH since KOH, in contrast to other bases, does have an enhancing effect on the pol- ishing rate of tantalum and no copper corrosion.

The concentration of the base is preferably 0.01 to 5 wt. %, more preferably 0.02 to 3 wt. %, most preferably 0.05 to 2 wt. %.

The base, preferably KOH, must be sufficiently pure to avoid rapid decomposition of hydrogen peroxide. The transitional metal impurities, such as Fe, Cu, are preferably less than 1 ppm.

It was surprisingly found that a monomeric polyhydroxy compound like ethylene glycol improves the removal rate of Ta, TaN and oxide (dielectrics). In contrast, the polyhydroxy compound lowers the removal rate of copper. Therefore a monomeric polyhydroxy compound, in particular ethylene or propylene glycol can be used as removal rate enhancer for Ta, TaN and oxide layers, as well as removal rate reducer for copper. If Ta/TaN or Siθ2 is present in combination with copper there is the opportunity to ad- just the removal rate selectivity of the slurry.

Fig. 1 shows the dependency of the removal rates for Ta, oxide and Cu on the ethylene glycol content of a slurry prepared according to example 1 (see table 1 ). The removal rate of Ta and oxide both significantly increase with increasing content of ethylene gly- col from below 600 to above 700 A/min and from about 330 to about 430 A/min, respectively, whereas the removal rate of copper decreases significantly from about 450 to about 350 A/min.

The monomeric polyhydroxy compound can also improve polishing uniformity as can be seen from Fig. 2. The slurry was prepared according to example 1. The non- uniformity was determined by 49-points measurements on the wafer. The non- uniformity NU was calculated from the removal rate RR at the respective points n across the wafer by NU [%] = Standard deviation (RR n ) / RR m , with RR m being the mean average of the removal rate. The points were selected at points that are at least 5 mm from the edge

The polishing composition further comprises a monomeric polyhydroxy compound. Polyhydroxy according to the present application means a compound comprising two or more hydroxyl groups, preferably two, three or four hydroxyl groups, most preferably two hydroxyl groups.

On the one hand the monomeric polyhydroxy compound has the function of a removal rate enhancer of tantalum surfaces. On the other hand it acts as dissolution promoter of corrosion inhibitor as well as wetting agent and lubricant.

Preferably the monomeric polyhydroxy compound is selected from compounds of formula I

in which R 1 is H, CrC 4 alkyl, R 2 is H, CrC 4 alkyl or OH and n is 2 to 6.

More preferably the monomeric polyhydroxy compound is selected from compounds of formula I in which R 1 and R 2 are independently H or C1-C2 alkyl and n is 2 to 4. Most preferably the monomeric polyhydroxy compound is selected from ethylene glycol, propylene glycol or glycerol, particularly ethylene glycol.

The barrier CMP slurry preferably contains the monomeric polyhydroxy compound in an amout of 0.1 to 20 wt.%, more preferably of 0.2 to 15 wt. %, even more preferably 0.3 to 10 wt. %, even more preferably 0.3 to 8 wt. %, even more preferably 0.4 to 6 wt. %, even more preferably 0.4 to 5 wt. %, most preferably of 0.5 to 4 wt. %.

The monomeric polyhydroxy compound is preferably completely soluble in the liquid carrier, e.g. water.

The CMP systems optionally can further comprise one or more pH adjusters, regula- tors, or buffers, and the like. pH adjusters may be strong or weak acids to (partly) compensate the base and to adjust the pH to the intended value. The acids may be organic or inorganic, the organic acids being preferred. Suitable pH adjusters, regulators, or buffers can include, for example sulphuric acid, hydrochloric acid, acetic acid, nitric acid, phosphoric acid, citric acid, potassium phosphate, mixtures thereof, and the like. A particularly preferred pH-adjuster is acetic acid since it enhances copper removal rate and improves copper surface smoothness.

The CMP systems optionally can further comprise other components. Such other components include complexing or chelating agents, biocides, anti-foaming agents, and the like.

Surfactants may also be used in the barrier CMP slurry. The use of non-ionic surfactants, if any, is preferred. In a particularly preferred embodiment ethoxylated aliphatic alcohols like Triton DF16 of Dow Chemicals are used. Non-ionic surfactant can signifi- cantly reduce removal rate of blanket black diamond film.

In a preferred embodiment the slurry essentially consists of an abrasive, an oxidizer, an N-containing heterocyclic compound, a monomeric polyhydroxy compound, a base, a liquid carrier, and optionally an organic acid, all of which independently being selected from a single compound or a mixture of compounds.

In a further preferred embodiment the slurry essentially consists of silica, an oxidizer, an N-containing heterocyclic compound, a monomeric polyhydroxy compound, a base, and a liquid carrier and optionally an organic acid and/or a non-ionic surfactant. In a further embodiment the slurry essentially consists of an abrasive, an oxidizer, a triazole compound, a base, ethylene glycol and water and optionally an organic acid and/or a non-ionic surfactant. In a further embodiment the slurry essentially consists of an abrasive, H2O2, a triazole compound, KOH, ethylene glycol, water, and optionally acetic acid and/or a non-ionic surfactant. In a particularly preferred embodiment the slurry essentially consists of a silica, H2O2, benzotriazole, KOH, ethylene glycol, acetic acid, a non-ionic surfactant and water.

The barrier CMP slurry is intended for use in polishing (or planarizing) a substrate comprising a copper layer and a barrier layer. The substrate typically is a microelectronic (e. g., semiconductor) substrate and optionally further comprises a dielectric layer. The dielectric layer can have any suitable dielectric constant (e. g., 3.5 or more, or 3.5 or less). For example, the dielectric layer can comprise silicon dioxide or an organically modified silicon glass, such as carbon-doped silicon dioxide.

The microelectronic substrates typically are formed by etching trenches or vias into a dielectric layer such as an oxide film. The trenches or vias are then lined with a thin barrier film, for example by physical vapor deposition (PVD), or by chemical vapor deposition (CVD). A copper layer is then deposited over the barrier film so as to completely fill the trenches and vias and overlay the barrier film. A first CMP process takes place so as to remove the conductive metal layer down to the barrier film. A second CMP process, using the barrier CMP slurry according to the present invention then takes place to remove the barrier film and any excess conductive metal layer down to the dielectric material.

During the second CMP process, unacceptable dishing of the conductive metal layer in the vias or trenches can occur, as well as undesirable scratching or erosion of the dielectric material. The combination of the components, particularly the ethylene glycol in the barrier CMP slurry can reduce the amount of dishing of the copper layer during removal of the barrier layer, and/or can reduce the scratching and/or erosion of the dielectric layer. The diffusion barrier layer is preferably a Ta or a TaN layer.

The CMP systems described herein are particularly suited for use in conjunction with a chemical-mechanical polishing (CMP) apparatus. Typically, the apparatus comprises a

platen, which, when in use, is in motion and has a velocity that results from orbital, linear, or circular motion, a polishing pad in contact with the platen and moving with the platen when in motion, and a carrier that holds a substrate to be polished by contacting and moving relative to the surface of the polishing pad intended to contact a substrate to be polished. The polishing of the substrate takes place by the substrate being placed in contact with the polishing pad and then the polishing pad moving relative to the substrate, typically with a polishing composition of the invention therebetween, so as to abrade at least a portion of the substrate to polish the substrate. The CMP apparatus can be any suitable CMP apparatus, many of which are known in the art.

All percent, ppm or comparable values refer to the weight with respect to the total weight of the respective mixture except where otherwise indicated. All cited documents are incorporated herein by reference.

Example 1 :

Similar patterned substrates containing copper, tantalum and silicon dioxide dielectrics (Sematech) were polished with an aqueous tantalum barrier slurry containing:

a) Abrasive: Colloidal, spherical silica (Fuso Quartron PL3, mean particle size 30 nm, cocoon shaped) b) Oxidizer: H 2 O 2 c) Base: KOH d) Monomeric Polyhydroxy compound: Ethylene glycol; and e) Corrosion Inhibitor: Benzotriazole

The concentrations as well as additional components and process conditions are indicated in table 1. Table 1 as well as fig. 1 show the removal rate increasing effect of ethylene glycol for Tantalum and Oxide and the removal rate decreasing effect for cop- per. Furthermore table 1 and fig. 2 show the increasing removal rate uniformity when using ethylene glycol.

Table 1

The H2O2 concentrations in the table refers to the content of 30% H2O2 in water (in contrast to the description above referring to absolute H2O2 content ).

Example 2:

Example 1 was repeated with changed compositions in the barrier CMP slurry. All relevant data are listed in table 2.

Table 2:

Run 4 Run 5 Run 6 Run 7 Run 8 Run 9

Silica Type Bayer Bayer Fuso Fuso Fuso Fuso

50CK 100CK PL3 PL3 PL3 PL3

Silica [%] 10 10 14 19 14 14

Organic Acid 0.09% 0.09% 0.14 % 0.06 % 0.15 % 0.15 %

Acetic Acetic Acetic Oxalic citric citric

Acid Acid acid Acid acid acid

KOH [%] 0.10 0.10 0.25 0.40 0.25 0.25

PH 8.7 8.7 9.6 9.5 9.8 9.8

H 2 O 2 (30%) [%] 0.35 0.35 0.35 0.35 0.70 0.70

Ethylene Glycol 1 1 1 1 2 2

BTA [ppm] 0 0 100 100 0 0

Surfactant [ppm] 0 0 0 0 0 200

Triton X

100

Polishing Pressu20.7 20.7 13.8 10.3 13.8 13.8 re [Pa] (3psi) (3psi) (2 psi) (1.5 psi) (2 psi) (2psi)

Pad Polytex Polytex Polytex Polytex Hard Hard

Pad Pad

Removal Ta 290 254 700 548 660 810 rate Cu 313 355 300 216 469 488

[A/min] Oxide 224 299 666 363 827 944

BD - - - 763 925 360

Non- Ta 6.3 6.6 5.2 8 8.36 4.5 uniformity Cu 14 16 6.1 10.2 10 10

[%] Oxide 10.3 8.7 5.1 1.6 6.8 6.6

BD _ _ _ 1 1.4 7.5 21.6