Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
A COMPACT LIGHT SOURCE FOR METROLOGY APPLICATIONS IN THE EUV RANGE
Document Type and Number:
WIPO Patent Application WO/2017/036840
Kind Code:
A1
Abstract:
It is the objective of the present invention to provide a compact and cost effective light source based on a storage ring that can deliver sufficient power, superior stability and high coherence for metrology methods in the EUV range using coherent scattering methods. This objective is achieved according to the present invention by a compact light source (LS) based on electron beam accelerator technology, comprising a storage ring (SR), a booster ring (BR), a linear accelerators and an undulator (UN) for providing light having the characteristics for actinic mask inspection at 13.5 nm,wherein: a) the intensity of the electron beam is maintained down to a level of 10-3; b) a compact multi-bend magnet structure is used for the storage ring (SR) to generate a small emittance leading to high brilliance and large coherent content of the light; c) the booster ring (BR) and the storage ring (SR) are located at different levels in a concentric top view arrangement in order to keep the required floor space small and to reduce interference effects; d) quasi-continuous injection, respectively enhanced top-up injection is implemented to reach the high intensity stability and to combat lifetime reductions due to elastic beam gas scattering and Touschek scattering; e) the injection into the storage ring (SR) and extraction from the booster ring (BR) are performed diagonal in the plane which is defined by the parallel straight section orbits of the booster ring (BR) and the storage ring (SR); and f) for the top-up injection from the booster ring (BR) into the storage ring (SR) two antisymmetrically arranged Lambertson septa are used. These measures result in a very compact source that fits into conventional labs or their maintenance areas and has quite low maintenance requirements and low cost of ownership. The wavelength of the light emitted by the undulator ranges from 6 to 30 nm. The light beam has an extreme intensity stability in a range of 10-3, a sufficient power on the mask larger than 10 mW and a high brightness larger than 10 kW/mm2/sr. The parameter space of electron beam energy, undulator period length, number of undulator periods are optimized to provide the required wavelength, photon flux and coherence for lensless metrology applications and coherent scattering methods. The concept of concentric rings enables minimal footprint of the source. A combination of enhanced top-up injection into the storage ring with a low gap undulator provides extremely high intensity stability and satisfies the coherence need for the specific application of coherent scattering methods.

Inventors:
EKINCI YASIN (CH)
RIVKIN LEONID (CH)
WRULICH ALBIN (CH)
STREUN ANDREAS (DE)
Application Number:
PCT/EP2016/069809
Publication Date:
March 09, 2017
Filing Date:
August 22, 2016
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SCHERRER INST PAUL (CH)
International Classes:
H05G2/00; H05H7/04
Foreign References:
JP3219376B22001-10-15
US20140048707A12014-02-20
US8749179B22014-06-10
Other References:
OCKWELL D C ET AL: "Synchrotron light as a source for extreme ultraviolet lithography", JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B: MICROELECTRONICSPROCESSING AND PHENOMENA, AMERICAN VACUUM SOCIETY, NEW YORK, NY, US, vol. 17, no. 6, 1 November 1999 (1999-11-01), pages 3043 - 3046, XP012007873, ISSN: 0734-211X, DOI: 10.1116/1.590951
COUPRIE M E ET AL: "X radiation sources based on accelerators", COMPTES RENDUS - PHYSIQUE, ELSEVIER, PARIS, FR, vol. 9, no. 5-6, 1 June 2008 (2008-06-01), pages 487 - 506, XP022701295, ISSN: 1631-0705, [retrieved on 20080522], DOI: 10.1016/J.CRHY.2008.04.001
A. WRULICH ET AL., FEASIBILITY STUDY FOR COSAMI - A COMPACT EUV SOURCE FOR ACTINIC MASK INSPECTION WITH COHERENT DIFFRACTION IMAGING METHODS
A. STREUN, OPA, Retrieved from the Internet
A. STREUN: "COSAMI lattices: ring, booster and transfer line", INTERNAL NOTE, PSI, 28 June 2016 (2016-06-28)
Attorney, Agent or Firm:
FISCHER, Michael (CH)
Download PDF:
Claims:
Patent Claims

1. A compact light source (LS) based on electron beam

accelerator technology, comprising a storage ring (SR) , a booster ring (BR) , a linear accelerators and an undulator (UN) for providing light having the characteristics for actinic mask inspection at 13.5 nm, wherein:

a) the intensity of the electron beam is maintained down to a level of 10"3;

b) a compact multi-bend magnet structure is used for the storage ring (SR) to generate a small emittance leading to high brilliance and large coherent content of the light;

c) the booster ring (BR) and the storage ring (SR) are located at different levels in a concentric top view arrangement in order to keep the required floor space small and to reduce interference effects;

d) quasi-continuous injection, respectively enhanced top-up injection is implemented to reach the high intensity stability and to combat lifetime reductions due to elastic beam gas scattering and Touschek scattering;

e) the injection into the storage ring (SR) and extraction from the booster ring (BR) are performed diagonal in the plane which is defined by the parallel straight section orbits of the booster ring (BR) and the storage ring (SR) ; and

f) for the top-up injection from the booster ring (BR) into the storage ring (SR) two antisymmetrically arranged

Lambertson septa are used.

2. The compact light source (LS) according to claim 1, wherein the booster ring (BR) and the storage ring (SR) are

concentrically arranged with small lateral displacement to facilitate the beam transfer and larger vertical displacement to reduce interference effects. 3. The compact light source (LS) according to any of the preceding claims, wherein for the enhanced top-up injection into the storage ring (SR) a multipole kicker is used to avoid a gap in the ring filling, in order to reduce the bunch current and to achieve the required high intensity and

position stability.

4. The compact light source (LS) according to any of the preceding claims, wherein the footprint is around 50 m2 in total; said footprint for a racetrack design with 2 long straight sections is achieved by a 3-dimensional arrangement of the storage ring (SR) , the booster ring (BR) and the linear accelerator (LA) , by using multi-functional magnets for the structures of the storage ring (SR) and the booster ring (BR) and by using a compact dispersion suppressing beam transfer from the booster ring (BR) to the storage ring (SR) with two antisymmetrically arranged Lambertson septa, by performing the injection into the storage ring (SR) by a single nonlinear kicker only.

5. The compact light source (LS) according to any of the preceding claims, wherein:

a) the storage ring (SR) receiving the accelerated electrons from the booster ring (BR) via enhanced top-up injection, keeping in this way the beam intensity stable to a level of 10~3 and combatting lifetime reductions caused by the low energy storage ring combined with the low gap undulator (UN) , wherein the electron energy of the electron beam in the storage ring (SR) ranges from 200 to 500 MeV and the current of the electron beam ranges from any lower value to 200 mA; b) the booster ring (BR) designed for enhanced top-up

injection receiving the accelerated electrons via an injection pathway from a linear accelerator;

c) an arrangement of concentric booster and storage rings which are only slightly laterally displaced in order to facilitate the beam transfer and largely vertically displaced in order to minimize the interference effect of the cycling booster on the electron beam in the storage ring and enabling extremely compact source without compromising the beam stability and machine reliability;

d) the low gap undulator (UN) integrated in the storage ring (SR) ; said undulator (UN) having an undulator period of 8 to 24 mm and a length of a large multiple of the undulator period .

Description:
A compact light source for metrology applications in the EUV range

The present invention relates to a compact light source based on accelerator technology for metrology application in the EUV range, in particular optimized for actinic mask inspection using coherent scattering methods.

Metrology with available technologies is becoming increasingly challenging. On-wafer metrology, i.e. metrology of

nanostructures ranging from thin films, patterned photoresists to integrated devices, is essential to monitor and control structural parameters such as CD (critical dimension, i.e. line width) , LER (line-edge roughness) , height, surface roughness, defects, thickness, sidewall angle, material composition, and overlay errors. In addition to electron microscopy, optical metrology (imaging, scattering, and ellipsometry) is extensively used. Optical scatterometry measures the spectral changes in intensity to determine the CD. Ellipsometry measures thickness and composition. X-ray metrology is used for coarse features of 2.5D and 3D

architectures .

With shrinking dimensions and the introduction of FinFETs (i.e. tall structures) the methods are being stretched to their limits. The current strategy of the industry is the hybrid metrology flow and exhaustive modeling. For further progress, novel and disruptive approaches are needed. For future materials (e.g. graphene) the industry lacks metrology solutions. Directed self-assembly (DSA) , a very promising technology, needs overlay metrology due to its randomness for which new solutions are needed. Thus, the future progress can very likely be hindered by the "metrology gap." Extreme ultraviolet lithography (EUVL) is considered to be the most viable cost-effective next generation lithography for sub-22 nm HP (sub 7nm technology node) for high-volume manufacturing of semiconductor devices. EUVL is based on reflective optical components for both the projection optics and the mask.

The large step from state-of-the-art 193 nm (ArF) optical lithography to 13.5 nm EUV lithography was triggered by the availability of optical elements for the EUV wavelength range. In comparison to the 193 nm range, where refractive optics is used for the manipulation of the photon beam, only reflective optics is available for the EUV range. Mo-Si coatings with 70% reflectivity and 2%BW at 13.5 nm wavelength are the adopted technologies for both mirrors and masks. These multilayers add another complication to the process. Stringent requirements exist on the flatness of the optics and the mask.

EUV masks consist of a substrate, multilayer coating on the substrate, and absorbing structures (e.g. TaN) patterned on the multilayer, where all these layers can have some defects which need to be detected and characterized in order to discard the mask or to repair the isolated defects before their use in the scanner. Therefore, EUV mask inspection tools become critical elements, especially also the detection of phase errors generated by deep inside located distortions in the multilayer mirror is important. Mask inspection is needed on blank multilayers and on patterned masks and the final mask through the pellicle.

Although other metrology methods, such as UV microscopy, AFM, SEM, are used for this purpose, actinic mask inspection, i.e. metrology with EUV light, has turned out to be an

indispensable method. Only EUV light penetrates deeply into the resonant multilayer structure. State of the art is the SEMATECH Actinic Inspection Tool (SHARP), a high resolution EUV Fresnel zone plate microscope dedicated to photo mask research. Commercial mask review tools have been developed by Carl Zeiss, i.e. the AIMS tool. Other mask inspection tools are under development by some industrial companies such as KLA Tencor, which has been terminated according to the official statements of the company.

In addition to the lens-based methods mentioned above, lensless methods, such as coherent scattering (diffraction) methods and coherent scattering imaging, have been

demonstrated to be feasible for actinic mask inspection. These methods do not rely on expensive optics and has also other advantages for defect inspection or imaging using phase- retrieval algorithms.

One of the major challenges for EUV metrology is to find an EUV source of high brightness and high stability. EUV light can be obtained through the spontaneous emission from a high- temperature and high-density plasma by Discharge Plasma

Production (DPP) or Laser Plasma Production (LPP) . Although for the scanners LPP sources above 100 W are under development and seem feasible, using a similar scheme and smaller droplets to achieve higher brightness with much less power is extremely difficult. The stability, up-time and debris are the most critical issues. High-harmonic generation (HHG) sources are also available. The problems of these highly coherent sources are stability and power. In summary, in order to scan a photomask within a reasonable time, DPP and LPP sources are limited by brightness (< 100 W/mm 2 /srd) and stability. The quoted brightness is sufficient for scanning microscopy. These sources are not suitable for coherent scattering methods, which require significantly higher brightness and coherence.

HHG sources have very high brightness (coherence) but the flux becomes the bottleneck which is in the p.W range. These sources are feasible for coherent scattering methods but for mask inspection within a reasonable time the flux should be more than 10 mW. Therefore they are not useful for use in photomask metrology within the targeted specifications of the industry. Mask metrology (i.e. mask inspection for localization of defects with low resolution and high throughput and mask review for characterization of defects with low speed and high resolution) is of critical importance to enable future

progress. In particular, EUV lithography requires a reflective imaging technology for assessment of the defects of masks. Particularly the defects that are within or under the

multilayers are not possible to detect with conventional methods. Therefore, actinic metrology, i.e. inspection and review with EUV light at 13.5 nm (92 eV) and reflection at 6° incidence angle (illumination conditions in manufacturing) , is considered as indispensable. Thus, EUV mask metrology is in crisis for both review and inspection and immediate solutions are needed.

For both on-wafer and mask metrology methods, including but not limited to optical full-field imaging, scanning

microscopy, scattering, coherent scattering, and coherent diffraction imaging, using short wavelengths, i.e. EUV light with the wavelength of 30 nm - 6 nm can be a solution.

However, these methods need light sources, which satisfy the requirements of the optical methods. The major challenges of state-of-the-art light sources, such as high-harmonic

generation and said laser assisted plasma sources are high brightness and coherence, stability and flux, as well as a reasonable size and high operational reliability. Low

installation costs and low maintenance costs are of course also issues. Although there have been many systems proposed or manufactured that satisfy some of the features above, there is no system that satisfies all the features above.

Accelerator-based light sources, such as storage rings and free-electron lasers can provide high flux and coherence and are used world-wide for various applications, including mask inspection. Their drawback is that they are relatively large in size. Compact synchrotrons are also proposed and several of them have been manufactured in the past decade. For instance, so far the generation of EUV light from either bending magnets or wigglers (see for example US 8,749,179 Bl) has been

proposed. Both of them are emitting light with relatively low brightness and with a broad spectrum from which the required wavelength has to be filtered out. Moreover, the intensity is not constant due to the long intervals of injection and decay of electron beam in the storage ring. In addition, the design does not put emphasize on reducing the total footprint of the tool. Most importantly, such a tool satisfies the requirements of the EUV actinic mask metrology using lens-based methods. It provides sufficient brightness needed for scanning microscopy and full-field imaging. The variation of the beam intensity is corrected by adjusting the scanning speed or controlling the attenuation of the beam intensity. However, such a source does not provide the very high brightness and coherence required for coherent scattering methods. Moreover, the change of the photon intensity will change the heat load on the mirrors which leads to instabilities of the beam position. For

coherent scattering imaging, beam stability requirements are extremely critical. It is therefore the objective of the present invention to provide a compact and cost effective light source based on a storage ring that can deliver sufficient power, stability, brightness and coherence for metrology methods in the EUV range, in particular but not limited to, coherent scattering methods .

This objective is achieved according to the present invention by a compact light source based on electron beam accelerator technology, comprising a storage ring, a booster ring, a linear accelerators and an undulator for providing light having the characteristics for actinic mask inspection at 13.5 nm, wherein:

a) the intensity of the electron beam is maintained down to a level of 10 "3 ;

b) a compact multi-bend magnet structure is used for the storage ring to generate a small emittance leading to high brilliance and large coherent content of the light;

c) the booster ring and the storage ring are located at different levels in a concentric top view arrangement in order to keep the required floor space small and to reduce

interference effects;

d) quasi-continuous injection, respectively enhanced top-up injection is implemented to reach the high intensity stability and to combat lifetime reductions due to elastic beam gas scattering and Touschek scattering;

e) the injection into the storage ring and extraction from the booster ring are performed diagonal in the plane which is defined by the parallel straight section orbits of the booster ring and the storage ring; and

f) for the top-up injection from the booster ring into the storage ring two antisymmetrically arranged Lambertson septa are used.

These measures result in a sufficiently compact source that fits into conventional labs or their maintenance areas and that is designed for low maintenance requirements and low cost of ownership. The wavelength of the light emitted by the undulator ranges from 6 to 30 nm. The light beam has an extreme stability in the range of 10 ~3 , a sufficient central cone power in a range larger than 100 mW and a high brightness larger than 100 kW/mm 2 /sr at the source level in which the transfer optics delivers at least 10% of the beam on the mask level. These values are based on the use of coherent

scattering methods and scanning of a 100 cm 2 field area of a photomask within a reasonable time. The flux requirement for mask review and coherence requirement for lens-based metrology methods are lower than these specifications and therefore also feasible with this method.

The parameter space of electron beam energy, undulator period length, number of undulator periods has therefore been

optimized to provide the required wavelength and photon flux for metrology applications with minimum costs and space requirements. No other compact source has proposed the

concentric ring concept to realize the beam stability and compactness simultaneously.

In order to fit into conventional labs and their maintenance areas, the architecture is designed to have a footprint being about 50 m 2 .

This extremely small footprint for a racetrack design with 2 long straight sections is achieved by a 3-dimensional

arrangement of storage ring, booster and linear accelerator. This measure also alleviates the electromagnetic disturbances of the booster ring on the storage ring beam. Moreover, small multi-functional magnets are building up the structures of the storage ring and the booster ring.

Based on the resulting straight section length for the

undulator an optimum layout of the storage ring has been created which respects the technical boundaries for the maximum possible magnetic fields of bending magnets and quadrupoles and the engineering space requirements. As a novelty for a compact source, the present invention comprises the full energy booster synchrotron ring for quasi- continuous, respectively enhanced top-up injection into the storage ring. Top-up injection is not only mandatory to reach the required intensity stability but also to combat lifetime reductions due to Touschek scattering and elastic beam gas scattering. Both, the low energy of the electron beam and the small vertical aperture gap of the undulator strongly enhance these effects.

Injection into the storage ring and extraction from the booster synchrotron ring are performed in the tilted plane which is defined by the parallel straight section orbits of the booster ring and the storage ring. For the injection into the storage ring, a pulsed multipole system is used which leaves the stored beam unaffected during the injection

process. No gaps are needed in the ring filling for kicker rise and fall times which increases the homogeneity of the filling and reduces for a fixed total current the charge per bunch and alleviates therefore collective effects, thus further improving the source stability.

The linear accelerator (Linac) fits fully within the structure of the storage ring. This measure also clearly contributes to the demand of reducing the footprint of the source. Therefore, the light source according to the present invention is the first EUV source with extremely high intensity

stability, as required for coherent diffraction imaging (CDI).

Further preferred embodiments of the present invention are listed in the depending claims.

Preferred embodiments of the present invention are hereinafter described with reference to the attached drawings which depict in :

Figure 1 as an example the variation of the beam current as a function of the electron energy for an undulator with 200 periods of 16 mm length;

Figure 2 the related magnetic field for the same range

electron energy; Figure 3 schematically the baseline design of a compact

light source for providing light having the characteristics for actinic mask inspection; and

Figure 4 3D-integration view of the compact light according to Figure 3.

For a better understanding of the technical background, the photon beam requirements for actinic mask inspection with CDI are explained first.

A verification of the principle of mask inspection using CDI has been performed at the XIL-II beamline at the SLS (Swiss Light Source at Paul Scherrer Institute, 5232 Villigen PSI,

Switzerland) . The photon beam requirements for an actinic mask inspection tool based on CDI are collected in Tab. 1. It has to be noted that these values are rough estimations. A more precise estimate of the requirements needs a conceptual design of the complete system with its optics, measurement methods, reconstruction algorithms and detector specifications.

Moreover, a very likely scenario is that a single source serves multiple tools simultaneously. Currently, the best option could be to use a single undulator and distribute the beam with beam splitters.

Table 1: Photon beam requirements for actinic mask inspection with CDI on the mask level

Bandwidth (temporal coherence) % 2-0.1

Based on the requirements for actinic mask inspection with CDI at a wavelength of 13.5 nm a first optimization of the source parameters - undulator and compact storage ring were

performed. The calculations are based on the flux requirement of 1.3xl0 15 photons per second in 0.1% bandwidth.

The relevant relations for the compact light source are:

E[MeV]

K = 0.934. u [cm]B u [T] (4) wherein A stands for the wavelength of the emitted light; A u is the period length of the undulator, γ is the Lorentz factor as defined by (2), no is the number of photons per second in 0.1 % of the bandwidth as defined by (3) and K is the undulator parameter as defined by (4) . N u stands for the number of undulator periods, while I is the current of the electron beam.

Fig.l shows the variation of the beam current as a function of the electron energy if conditions (1) and (3) are fulfilled, for an undulator period length X u of 16 mm, which has been chosen as conservative value. If K approaches 0, the beam current I goes to infinity in order to fulfill condition (1) . But at a rather modest distance from this pole a reasonable current can be reached. For the considerations here the energy was chosen as 430 MeV. There is not much gain in current reduction above this energy limit.

Figure 2 shows the related magnetic field B for the same range of electron energy (as in Figure 1) .

In conclusion: For the development of the source concept, an undulator period length of 16 mm has been chosen. All the other parameters are a consequence of this choice. The energy of the compact storage ring results in 430 MeV and the

undulator field in 0.42 T.

There are some technical limits for undulators with short period lengths and high fields. An undulator period length of 16 mm is at the limit for what can be conventionally reached today. An even shorter period length would have the advantage of lower beam energy as it is evident from equation (1) but requires on the other hand higher undulator field strengths to achieve a reasonable large K parameter (4) . And if the K parameter is too low, higher beam currents are needed to reach the required flux defined by equation (3) .

Cryo undulators would allow even shorter period lengths combined with higher fields but they add a complexity which would affect the reliability and are therefore not considered here.

The required number of photons can be reached with 150 mA beam current. This is sufficiently low in order to avoid harmful collective effects. In conclusion, the energy of 430 MeV is reasonably small to allow a compact storage ring. The field of 0.42 T for the undulator is well within the actual standards. The K value is 0.63 and consequently small enough to not enhance the higher harmonics. The selected parameters of the undulator and the electron beam are summarized in Tab.2. Table 2: Undulator and electron beam parameters

CDI methods ask for a high intensity stability of the electron beam which makes top-up injection mandatory. An enhanced top- up injection or quasi-continuous injection becomes necessary in order to combat lifetime reductions due to elastic beam-gas scattering and Touschek scattering. Both are strongly enhanced by the low storage ring energy combined with the small

undulator gap.

Figure 3 schematically shows schematically a top-view on a compact light source 2 for providing light having the

characteristics for actinic mask inspection at 13.5 nm. Of course, by adapting the design of the specific components the emitted light can have other dominant wavelengths. The compact light source 2 comprises a storage ring SR, a concentric booster synchrotron BO and a linear pre-accelerator LI. In Figure 3 also included is a schematic side view of a booster extraction scheme 4 and a storage ring injection scheme 6 with two antisymmetrically arranged Lambertson septa YEX, YIN. YEX marks an extraction septum, YIN an injection septum, KEX represents an extraction kicker and KIN a nonlinear injection kicker. Figure 4 schematically shows a 3D-view of the compact light source 2 with the storage ring SR, the booster

synchrotron BO and the linear pre-accelerator LI with transfer lines TL, an undulator UN and acceleration cavities CY .

The design of the booster synchroton BO follows the racetrack shape of the storage ring SR. Since the required floor space should be minimum, the booster synchroton BO as shown in Fig.3 and Fig. 4 is placed concentrically below the storage ring SR with minimum lateral spacing in order to facilitate the beam transfer and large vertical spacing in order to maximize the separation between the booster synchroton BO and the storage ring SR. This will alleviate the electromagnetic disturbances of the cycling booster synchroton BO on the electron beam in the storage ring SR.

The tilted extraction and injection systems 4, 6 are built up by two antisymmetrically arranged Lambertson septa YEX, YIN that are connecting the two straight sections of the booster synchroton BO and the storage ring SR. The electron beam is horizontally displaced in both septa YEX, YIN and gets

deflected vertically. From the storage ring injection septum YIN it is guided with a small slope to the multipole injection kicker KIN where it is captured inside the storage ring acceptance .

The innovative features of this compact light source 2

presented above, especially the combination of all of them, have never been applied to a compact low energy storage ring based light source. For the solution presented here, all intrinsic problems of such a complex system have been solved. For the undulator UN, permanent magnet material Dy enhanced NdFeB was selected which provides a remanent field of B r =1.25 T. With an enhanced material - compared to the U15 undulator at the SLS (block height from 16.5 to 26.5 mm and pole width from 20 to 30 mm) - a field of B=0.47 T can be reached with 8.5 mm gap and B=0.42 T with 9 mm. Tab. 3 below summarizes the major beam parameters, the source parameters and the light characteristics.

Beam parameters, source parameters and light characteristics of COSAMI (Compact EUV Source for Actinic Mask Inspection) for actinic mask inspection

References :

[1] A. Wrulich et al, Feasibility Study for

COSAMI - a Compact EUV Source for Actinic Mask Inspection with coherent diffraction imaging methods

[2] A. Streun, OPA, http://ados.web.psi.ch/opa/

[3] A. Streun, : "COSAMI lattices: ring, booster and transfer line", Internal note, PSI June 28, 2016.