Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
COMPLEMENTARY SONOS INTEGRATION INTO CMOS FLOW
Document Type and Number:
WIPO Patent Application WO/2015/088731
Kind Code:
A1
Abstract:
Methods of integrating complementary SONOS devices into a CMOS process flow are described. The method begins with depositing a hardmask (HM) over a substrate including a first-SONOS region and a second-SONOS region. A first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the second-SONOS region. The first portion of the HM is etched, a channel for a first SONOS device implanted through a first pad oxide overlying the second-SONOS region and the first TUNM removed. A second TUNM is formed exposing a second portion of the HM in the first-SONOS region. The second portion of the HM is etched, a channel for a second SONOS device implanted through a second pad oxide overlying the first-SONOS region and the second TUNM removed. The first and second pad oxides are concurrently etched, and the HM removed.

Inventors:
PRABHAKAR VENKATRAMAN (US)
RAMKUMAR KRISHNASWAMY (US)
KOUZNETSOV IGOR G (US)
Application Number:
PCT/US2014/066545
Publication Date:
June 18, 2015
Filing Date:
November 20, 2014
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
CYPRESS SEMICONDUCTOR CORP (US)
International Classes:
H01L29/792
Foreign References:
US8143129B22012-03-27
US20130210209A12013-08-15
Download PDF:
Claims:
IN THE CLAIMS

WHAT IS CLAIMED IS:

1. A method comprising:

depositing a hardmask (HM) over a surface of a substrate including a first- SONOS region and a second-SONOS region in which a pair of complementary SONOS devices are to be formed;

forming a first tunnel mask (TUNM) over the HM exposing a first portion of the HM in the second-SONOS region;

etching the first portion of the HM, implanting a channel for a first SONOS device through a first pad oxide overlying the second-SONOS region and removing the first TUNM;

forming a second TUNM over the HM exposing a second portion of the HM in the first-SONOS region;

etching the second portion of the HM, implanting a channel for a second SONOS device through a second pad oxide overlying the first-SONOS region and removing the second TUNM; and

concurrently etching the first and second pad oxides in the second-SONOS region and the first-SONOS region, and removing the HM.

2. The method of claim 1 wherein the first-SONOS region comprises a P- SONOS region and the second-SONOS region comprises an N-SONOS region.

3. The method of claim 1 wherein the first-SONOS region comprises an N- SONOS region and the second-SONOS region comprises a P-SONOS region.

4. The method of claim 1 wherein forming the second TUNM comprises depositing photoresist over the HM and wherein the first pad oxide isolates the surface of the substrate from the photoresist in the second-SONOS region.

5. The method of claim 1 wherein the first-SONOS region comprises a P- SONOS region, and further comprising implanting a Nwell in the first-SONOS region through the second pad oxide prior to removing the second TUNM.

6. The method of claim 1 wherein the second-SONOS region comprises a N- SONOS region, and further comprising implanting a Pwell in the N-SONOS region through the first pad oxide prior to removing the first TUNM.

7. The method of claim 1 wherein the substrate further includes a MOS region in which a number of MOS devices are to be formed.

8. The method of claim 7 wherein the number of MOS devices include a pair of complementary MOS devices.

9. The method of claim 7 further comprising prior to depositing the HM concurrently implanting a well for at least one of the number of MOS devices in the MOS region and a well for one of the pair of complementary SONOS devices in the first- SONOS region or the second-SONOS region.

10. The method of claim 7 further comprising after removing the HM depositing a gate layer over ONO stacks formed in the first-SONOS region, the second- SONOS region and a gate oxide (GOx) in the MOS region and patterning the gate layer to concurrently form gates for the pair of complementary SONOS devices and at least one of the number of MOS devices.

11. The method of claim 10 further comprising after removing the HM:

forming source and drains for the pair of complementary SONOS devices and the number of MOS devices; and

concurrently forming a metal layer over the first-SONOS region, the second- SONOS region and the MOS region to electrically couple a drain of the first SONOS device to drain of the second SONOS device, and to electrically couple a source of at least one of the pair of complementary SONOS devices to one of the number of MOS devices.

12. A method comprising:

depositing a first tunnel mask (first TUNM) over a surface of a substrate including a P-SONOS region and a N-SONOS region in which a pair of complementary SONOS devices are to be formed;

patterning the first TUNM to expose the N-SONOS region, implanting a channel for a N-type SONOS device through a first pad oxide overlying the N-SONOS region and removing the first TUNM;

depositing a second tunnel mask (second TUNM) over the P-SONOS region; patterning the second TUNM to expose the P-SONOS region, implanting a channel for a P-type SONOS device through a second pad oxide overlying the P-SONOS region and removing the second TUNM; and

depositing a hardmask (HM) over the N-SONOS region and the P-SONOS region;

patterning the HM to expose the N-SONOS region and the P-SONOS region; and concurrently etching the first and second pad oxides in the N-SONOS region and the P-SONOS region, and removing the HM.

13. The method of claim 12 wherein patterning the HM comprises depositing a complementary tunnel mask (C-TUNM) over the HM and patterning the C-TUNM to expose the HM overlying the N-SONOS region and the P-SONOS region.

14. The method of claim 12 further comprising implanting a Nwell in the P- SONOS region through the second pad oxide prior to removing the second TUNM.

15. The method of claim 12 further comprising implanting a Pwell in the N- SONOS region through the first pad oxide prior to removing the first TUNM.

16. The method of claim 12 wherein the substrate further includes a MOS region in which a number of MOS devices are to be formed.

17. The method of claim 16 further comprising prior to depositing the first TUNM concurrently implanting a well for at least one of the number of MOS devices in the MOS region and a well for one of the pair of complementary SONOS devices in the P-SONOS region or the N-SONOS region.

18. A method comprising:

depositing a hardmask (HM) over a surface of a substrate including a MOS region in which a number of MOS devices are to be formed, a P-SONOS region and a N- SONOS region in which a pair of complementary SONOS devices are to be formed; forming a first tunnel mask (TUNM) over the HM exposing a first portion of the HM in the N-SONOS region;

etching the first portion of the HM, implanting a channel for a N-type SONOS device through a first pad oxide overlying the N-SONOS region and removing the first TUNM;

forming a second TUNM over the HM exposing a second portion of the HM in the P-SONOS region;

etching the second portion of the HM, implanting a channel for a P-type SONOS device through a second pad oxide overlying the P-SONOS region and removing the second TUNM;

concurrently etching the first and second pad oxides in the N-SONOS region and the P-SONOS region; and

concurrently removing the HM from the N-SONOS region, the P-SONOS region and the MOS region.

19. The method of claim 18 further comprising prior to depositing the first HM concurrently implanting a well for at least one of the number of MOS devices in the MOS region and a well for one of the pair of complementary SONOS devices in the P- SONOS region or the N-SONOS region.

20. The method of claim 18 further comprising:

depositing a number of dielectric layers over the surface of the substrate, the dielectric layers include a tunneling layer overlying the surface of the substrate, a charge- trapping layer overlying the tunneling layer and a blocking layer overlying the charge- trapping layer; and

etching the number of dielectric layers to form dielectric stacks for the pair of complementary SONOS devices in the N-SONOS region and the P-SONOS region.

21. The method of claim 20 further comprising depositing a gate oxide (GOx) in the MOS region, wherein depositing the GOx comprises concurrently forming a high temperature oxide (HTO) on the blocking layer of the dielectric stacks for the pair of complementary SONOS devices.

22. The method of claim 20 further comprising depositing a gate layer over the dielectric stacks in the N-SONOS region, the P-SONOS region and the GOx in the MOS region and patterning the gate layer to concurrently form gates for the pair of complementary SONOS devices and at least one of the number of MOS devices.

Description:
COMPLEMENTARY SONOS INTEGRATION INTO CMOS FLOW

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims the benefit of priority under 35 U.S.C. 119(e) to

U.S. Provisional Patent Application Ser. No. 61/936,506, filed February 6, 2014, and to U.S. Provisional Patent Application Ser. No. 61/915,390, filed December 12, 2013 both of which are incorporated by reference herein.

TECHNICAL FIELD

[0002] The present disclosure relates generally to semiconductor devices, and more particularly to memory cells including embedded or integrally formed silicon- oxide-nitride-oxide-semiconductor (SONOS) devices and metal-oxide- semiconductor (MOS) devices and methods for fabricating the same.

BACKGROUND

[0003] For many applications, such as system-on-chip (SOC) architecture, it is desirable to integrate logic devices and interface circuits based upon MOS transistors or devices and silicon-oxide-nitride-oxide-semiconductor (SONOS) transistors or devices, on a single chip or substrate to create non-volatile memory (NVM). MOS devices are typically fabricated using a standard or baseline complimentary-metal- oxide- semiconductor (CMOS) process flows. SONOS devices include charge-trapping gate stacks in which a stored or trapped charge changes a threshold voltage of the non-volatile memory device to store information as a logic 1 or 0. The integration of these dissimilar devices in SOC architecture is challenging and becomes even more problematic when attempting to form complementary N and P-type SONOS devices with CMOS devices on a single chip or integrated circuit (IC).

SUMMARY

[0004] Methods of integrating complementary SONOS devices into a CMOS process flow are described. The method begins with depositing a hardmask (HM) over a substrate including a P-SONOS region and an N-SONOS region. In several embodiments, the substrate further includes a MOS region in which a number of MOS devices are to be formed and the HM is concurrently deposited over the MOS region. A first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the N-SONOS region. The first portion of the HM is etched, a channel for a N-type SONOS device implanted through a first pad oxide overlying the N-SONOS region and the first TUNM removed. A second TUNM is formed exposing a second portion of the HM in the P-SONOS region. The second portion of the HM is etched, a channel for a P- type SONOS device implanted through a second pad oxide overlying the P-SONOS region and the second TUNM removed. The first and second pad oxides are concurrently etched, and the HM removed.

BRIEF DESCRIPTION OF THE DRAWINGS

[0005] Embodiments of the present invention will be understood more fully from the detailed description that follows and from the accompanying drawings and the appended claims provided below, where:

[0006] FIG. 1 is a flowchart illustrating an embodiment of a method for fabricating a memory cell including complementary silicon-oxide-nitride-oxide- semiconductor (SONOS) devices and a metal-oxide-semiconductor (MOS) devices;

[0007] FIGs. 2A-2S are block diagrams illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to the method of FIG. 1; and

[0008] FIG. 3 is a flowchart illustrating another embodiment of a method for fabricating a memory cell including complementary SONOS devices and a metal-oxide- semiconductor (MOS) devices; and

[0009] FIGs. 4A-4J are block diagrams illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to the method of FIG. 3; and

[0010] FIG. 5 is a block diagram illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to an alternative embodiment of either the method of FIG. 1 or FIG. 3.

DETAILED DESCRIPTION

[0011] Embodiments of methods of integrating complimentary silicon-oxide- nitride-oxide-semiconductor (CSONOS) into a complimentary metal-oxide- semiconductor (CMOS) fabrication process or process flow to produce non-volatile memory (NVM) cells are described herein with reference to figures. However, particular embodiments may be practiced without one or more of these specific details, or in combination with other known methods, materials, and apparatuses. In the following description, numerous specific details are set forth, such as specific materials, dimensions and processes parameters etc. to provide a thorough understanding of the present invention. In other instances, well-known semiconductor design and fabrication techniques have not been described in particular detail to avoid unnecessarily obscuring the present invention. Reference throughout this specification to "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrase "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

[0012] The terms "over," "under," "between," and "on" as used herein refer to a relative position of one layer with respect to other layers. As such, for example, one layer deposited or disposed over or under another layer may be directly in contact with the other layer or may have one or more intervening layers. Moreover, one layer deposited or disposed between layers may be directly in contact with the layers or may have one or more intervening layers. In contrast, a first layer "on" a second layer is in contact with that second layer. Additionally, the relative position of one layer with respect to other layers is provided assuming operations deposit, modify and remove films relative to a starting substrate without consideration of the absolute orientation of the substrate.

[0013] Briefly, in one embodiment the method begins with depositing a hardmask

(HM) over a surface of a substrate including a first-SONOS region and a second-SONOS region in which a pair of complementary SONOS devices are to be formed. A first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the second- SONOS region, the first portion of the HM is etched, and a channel for a first SONOS device implanted through a first pad oxide overlying the second-SONOS region after which the first TUNM is removed. Next, a second TUNM is formed over the HM exposing a second portion of the HM in the first-SONOS region, the second portion of the HM is etched, and a channel for a second SONOS device implanted through a second pad oxide overlying the first-SONOS region after which the second TUNM is removed. Finally, the first and second pad oxides in the first-SONOS region and second-SONOS regions are concurrently etched, and the HM removed. The first and second SONOS regions are or will be doped with opposite types of dopants. Thus, although in the following exemplary embodiments the first-SONOS region is described as being a P- SONOS region and the second-SONOS region as an N-SONOS region, It will be understood that in other embodiments, the first-SONOS region may be an N-SONOS region and the second-SONOS region a P-SONOS region without departing from the scope of the invention.

[0014] The CSONOS devices may include devices or transistors implemented using Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) or Metal-Oxide-Nitride-Oxide- Silicon (MONOS) technology.

[0015] An embodiment of a method for integrating or embedding CSONOS into a

CMOS process flow will now be described in detail with reference to FIG. 1 and FIGs. 2A through 2S. FIG. 1 is a flowchart illustrating an embodiment of a method or process flow in which a hardmask is deposited over a surface of substrate before tunnel masks (TUNM) are formed for the CSONOS and SONOS wells and/or channels implanted. FIGs. 2A-2S are block diagrams illustrating cross-sectional views of a portion of a memory cell 200, including a pair of complementary SONOS devices and a pair or a number of MOS devices, two of which are shown, during fabrication of the memory cell according to the method of FIG. 1. In one embodiment, the pair of MOS devices is a pair of complementary MOS (CMOS) devices.

[0016] Referring to FIG. 1 and FIG. 2A, the process begins with forming a number of isolation structures 202 in a wafer or substrate 204 (step 102). The isolation structures 202 isolate the memory cell being formed from memory cells formed in adjoining areas (not shown) of the substrate 204 and/or isolate the pair of complementary SONOS devices 206a-206b being formed in a SONOS region 208 of the substrate from one another, and from a number of MOS devices 210a-210b being formed in one or more adjoining MOS regions 212, only one of which is shown.

[0017] It is noted that in the embodiment shown the pair of complementary

SONOS devices include a p-type SONOS device (P-SONOS 206a) formed in a P- SONOS region 208a, and a N-type SONOS device (N-SONOS 206b) formed in a N- SONOS region 208b. By P-type SONOS device it is meant a device having a channel region doped with a P-type, acceptor dopant such as boron. Similarly, by N-type SONOS device it is meant a device having a channel region doped with an N-type, donor dopant such as phosphorus or arsenic.

[0018] It is noted that the number of MOS devices 210a-210b can include both low-voltage field effect transistors (LV-FET) in a core of a non-volatile memory (NVM) and high-voltage field effect transistors (HV-FET) in an input/output (I/O) circuit of the NVM. For purposes of explanation and to simplify the figures the MOS devices 210a- 210b are shown as including a LV-FET 210a in the core of the NVM and a HV-FET 210b in the I/O circuit of the NVM. Although not shown in this figure, it will be understood the MOS devices 210a-210b can be and generally are one half of a complementary pairs of CMOS in the core and/or the I/O circuit of the NVM, all of which are integrally and concurrently formed along with the pair of the CSONOS devices.

[0019] The isolation structures 202 include a dielectric material, such as an oxide or nitride, and may be formed by any conventional technique, including but not limited to shallow trench isolation (STI) or local oxidation of silicon (LOCOS). The substrate 204 may be a bulk wafer composed of any single crystal or polycrystalline material suitable for semiconductor device fabrication, or may include a top epitaxial layer of a suitable material formed on a substrate. Suitable materials include, but are not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.

[0020] A pad oxide 214 is formed over a surface 216 of the substrate 204 in both the NVM region 208 and the MOS regions 212. The pad oxide 214 can be silicon dioxide (Si0 2 ) having a thickness of from about 10 nanometers (nm) to about 20 nm and can be grown by a thermal oxidation process or in-situ steam generation (ISSG).

[0021] Referring again to FIG. 1 and FIG. 2A, dopants are then implanted into substrate 204 through the pad oxide 214 to form channels 218 and wells 220 for one or more of the MOS devices 210a-210b that will be formed in the MOS region 212 (step 104). Generally, this involves several separate deposition, lithography, implant and stripping processes to implant wells and channels for different types of devices, formed in different areas, i.e., the core or I/O circuit of the NVM. For example, to perform well and channel implants of a N-type MOS device in the core, core MOS 210a, a photoresist layer is deposited and patterned using standard lithographic techniques to block or shield both the SONOS region 208 and P-type devices in the MOS region 212, and implanting an appropriate ion species at an appropriate energy to an appropriate concentration. For example, BF 2 can be implanted at an energy of from about 10 to about 100 kilo-electron volts (keV), and a dose of from about lel2 cm - " 2 to about lel4 cm - " 2 to form an N-type MOS (NMOS) transistor. A P-type MOS (PMOS) transistor may likewise be formed by implantation of Arsenic or Phosphorous ions at any suitable dose and energy. It is to be understood that implantation can be used to form channels 218, in all of the MOS regions 212 at the same time, or at separate times using standard lithographic techniques, including a patterned photoresist layer to mask one of the MOS regions. After the implants have been performed, the patterned resist layer is stripped in either an ashing process using oxygen plasma, or in a photoresist strip using a commercially available strip or solvent. Another photoresist layer is deposited and patterned to block or shield both the SONOS region 208 and N-type devices in the MOS region 212 prior to performing well and channel implants of a P-type MOS device in the core. The process is then repeated for the MOS devices in the MOS device in the I/O circuit, I/O MOS 210b.

[0022] Next, referring to FIG. 1 and FIG. 2B a hardmask 222 is deposited over the surface 216 of the substrate 204 (step 106). Generally, the hardmask 222 is formed concurrently over both the P-SONOS region 208a and the N-SONOS region 208b. In some embodiments, such as that shown, the hardmask 222 is formed concurrently over substantially the entire surface 216 of the substrate 204, including both the P-SONOS region 208a and the N-SONOS region 208b and the MOS region 212.

[0023] Generally, the hardmask 222 can include one or more layers of material that can be patterned or opened using photoresist and standard lithographic techniques, but which is not itself photosensitive and protects underlying surface and structures formed therein from the photoresist and lithographic processes as well as from implants and etch process performed through openings formed in the hardmask. Suitable materials for the hardmask 222 include, for example, a layer of from about 5 to about 20 nm of silicon nitride (Si x N y ), or silicon oxynitride (SiON) deposited by any known nitride deposition process. For example, in one embodiment a nitride hardmask is formed in step 106 in a low pressure chemical vapor deposition (LPCVD) process using a silicon source, such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis- TertiaryButylAmino Silane (BTBAS), and a nitrogen source, such as NH 3 and N 2 0.

[0024] Next, referring to FIG. 1 and FIG. 2C a first tunnel mask (TUNM 224) is formed by depositing a photoresist layer on or overlying substantially an entire surface of the hardmask 222, patterning the photoresist layer using standard lithographic techniques (step 108). Because an opening 226 in the patterned photoresist layer exposes a first portion of the HM 222 in the N-SONOS region 208b, the first TUNM 224 may also be referred to as the N-TUNM.

[0025] Referring to FIG. 1 and FIG. 2D, the first portion of the HM exposed through the opening 226 in the first or N-TUNM 224 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 110). For example, in those embodiments in which the hardmask 222 includes a layer of silicon nitride overlying a pad oxide 214, the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (Si02) of the underlying pad oxide 214 and/or the STI 202 structures.

[0026] Next, referring again to FIG. 1 and FIG. 2D, dopants of an appropriate energy and concentration are implanted through the opening in the hardmask 222 and the pad oxide 214 to form a channel 228 for the N-SONOS device 206b, and, optionally, a well (not shown in this figure) in which the channel for the N-SONOS device is formed (step 112). In one embodiment, the well can be implanted with boron ions (BF 2 ) at an energy of from about 100 to about 500 kilo-electron volts (keV), and a dose of from about lE12/cm 2 to about 5E13/cm 2 to form a Pwell. The channel 228 can be implanted with Arsenic or Phosphorous ions at an energy of from about 50 to about 500 kilo- electron volts (keV), and a dose of from about 5el lcm - " 2 to about 5el2 cm - " 2 to form a N- SONOS device 206b.

[0027] Referring to FIG. 1 and FIG. 2E, the first or N-TUNM 224 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 114).

Next, referring to FIG. 1 and FIG. 2F a second tunnel mask (TUNM 230) is formed by depositing a photoresist layer on or over substantially an entire surface of the hardmask 222 and the surface 216 of the substrate 204 exposed by the first hardmask etch step, and patterned using standard lithographic techniques (step 116). Because an opening 232 in the patterned photoresist layer exposes a second portion of the hardmask 222 in the P-SONOS region 208a, the second TUNM 230 may also be referred to as the P- TUNM. It is noted that the pad oxide 214 isolates the surface 216 of the substrate 204 from the photoresist of second TUNM 230 in the N-SONOS region 208b. [0028] Referring to FIG. 1 and FIG. 2G, the second portion of the hardmask 222 exposed through the opening 232 in the second or P-TUNM 230 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 118). For example, as described above in connection with N-TUNM 224, in those embodiments in which the hardmask 222 includes a layer of silicon nitride overlying a pad oxide 214, the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (Si02) of the underlying pad oxide 214 and/or the STI 202 structures.

[0029] Referring again to FIG. 1 and FIG. 2G, dopants of an appropriate energy and concentration are implanted through the opening in the hardmask 222 and the pad oxide 214 to form a channel 234 and a well or deep well 236 in which the P-SONOS device 206a is formed (step 120). In one embodiment, the well can be implanted with Arsenic or Phosphorous at an energy of from about 200 to about 1000 kilo-electron volts

(keV), and a dose of from about lE12/cm 2 to about 5E13/cm 2 to form a deep Nwell. The channel 234 can be implanted with boron ions (BF 2 ) at an energy of from about 10 to about 100 kilo-electron volts (keV), and a dose of from about lel2cm - " 2 to about lel3 cm " 2 to form a P-SONOS device 206a.

[0030] Referring to FIG. 1 and FIG. 2H, the second or P-TUNM 230 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 122).

[0031] Next, referring to FIG. 1 and FIG. 21, the pad oxide 214 over both the P- SONOS region 208a and the N-SONOS region 208b is concurrently removed in a tunnel mask etch through the openings previously formed in the hardmask 222 (step 124). The tunnel mask etch can be accomplished, for example, in a wet clean process using a 10: 1 buffered oxide etch (BOE) containing a surfactant. Alternatively, the wet clean process can be performed using a 20: 1 BOE wet etch, a 50: 1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry.

[0032] Referring to FIG. 1 and FIG. 2J, the hardmask 222 is substantially entirely stripped or removed (step 126). The hardmask 222 can be removed using the same process and chemistry previously used to form openings in the hardmask. For example, in embodiments in which the hardmask 222 includes a silicon nitride layer, it can be removed using a standard low pressure nitride etch at a moderate power (about 500W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (Si02) of the pad oxide 214 remaining over the MOS devices 210a-210b in the MOS region 212 and the STI 202 structures, and to the underlying silicon of the substrate in the SONOS region 208. Alternatively, the silicon nitride can also be removed by a wet etch using Phosphoric acid (H 3 P0 4 ) at a temperature of about 150°C to 160°C.

[0033] Referring to FIGs. 1 and FIGs. 2K through 2L, a number of dielectric or oxide-nitride-oxide (ONO) layers, shown collectively as dielectric layers 238 in FIG. 2L, are formed or deposited over the surface 216 of the substrate 204, a mask formed on or overlying the dielectric layers, and the dielectric layers etched to form ONO or dielectric stacks 240 of the N-SONOS device 206b and the P-SONOS device 206a in the N- SONOS region 208b and the P-SONOS region 208a (step 128). [0034] Referring to FIG. 2L, the number of dielectric layers 238 includes a tunneling layer 242 overlying the surface 216 of the substrate 204, a charge-trapping layer 244 overlying the tunneling layer and a blocking layer 246 overlying the charge- trapping layer. The tunneling layer 242 may be any material and have any thickness suitable to allow charge carriers to tunnel into an overlying charge-trapping layer under an applied gate bias while maintaining a suitable barrier to leakage when the SONOS devices (P-SONOS device 206a and N-SONOS device 206b) are unbiased. In certain embodiments, tunneling layer 242 is silicon dioxide, silicon oxy-nitride, or a combination thereof and can be grown by a thermal oxidation process, using ISSG or radical oxidation.

[0035] In one embodiment a silicon dioxide tunneling layer 242 may be thermally grown in a thermal oxidation process. For example, a layer of silicon dioxide may be grown utilizing dry oxidation at 750 degrees centigrade (°C) - 800°C in an oxygen containing gas or atmosphere, such as oxygen (0 2 ) gas. The thermal oxidation process is carried out for a duration approximately in the range of 50 to 150 minutes to effect growth of a tunneling layer 242 having a thickness of from about 1.0 nanometers (nm) to about 3.0 nm by oxidation and consumption of the exposed surface of substrate.

[0036] In another embodiment a silicon dioxide tunneling layer 242 may be grown in a radical oxidation process involving flowing hydrogen (H 2 ) and oxygen (0 2 ) gas into a processing chamber at a ratio to one another of approximately 1 : 1 without an ignition event, such as forming of a plasma, which would otherwise typically be used to pyrolyze the H 2 and 0 2 to form steam. Instead, the H 2 and 0 2 are permitted to react at a temperature approximately in the range of about 900°C to about 1000°C at a pressure approximately in the range of about 0.5 to about 5 Torr to form radicals, such as, an OH radical, an H0 2 radical or an oxygen (O) diradical, at the surface of substrate. The radical oxidation process is carried out for a duration approximately in the range of about 1 to about 10 minutes to effect growth of a tunneling layer 242 having a thickness of from about 1.0 nanometers (nm) to about 4.0 nm by oxidation and consumption of the exposed surface of substrate. It will be understood that in this and in subsequent figures the thickness of tunneling layer 242 is exaggerated relative to the pad oxide 214, which is approximately 7 times thicker, for the purposes of clarity. A tunneling layer 242 grown in a radical oxidation process is both denser and is composed of substantially fewer hydrogen atoms/cm than a tunneling layer formed by wet oxidation techniques, even at a reduced thickness. In certain embodiments, the radical oxidation process is carried out in a batch-processing chamber or furnace capable of processing multiple substrates to provide a high quality tunneling layer 242 without impacting the throughput (wafers/hr.) requirements that a fabrication facility may require.

[0037] In another embodiment, tunneling layer 242 is deposited by chemical vapor deposition (CVD) or atomic layer deposition and is composed of a dielectric layer which may include, but is not limited to silicon dioxide, silicon oxy-nitride, silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide. In another embodiment, tunneling layer 242 is a multilayer tunneling layer including at least a bottom layer of a material such as, but not limited to, silicon dioxide or silicon oxy- nitride and a top layer of a material which may include, but is not limited to silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide.

[0038] Referring again to FIG. 2L, a charge-trapping layer 244 is formed on or overlying the tunneling layer 242. Generally, as in the embodiment shown, the charge- trapping layer is a multilayer charge-trapping layer having multiple layers including at least an oxygen-rich, substantially charge trap free lower or first charge-trapping layer 244a closer to the tunneling layer 242, and an upper or second charge-trapping layer 244b that is silicon-rich and oxygen-lean relative to the first charge-trapping layer and comprises a majority of a charge traps distributed in multilayer charge-trapping layer.

[0039] The first charge-trapping layer 244a of a multilayer charge-trapping layer

244 can include a silicon nitride (Si 3 N 4 ), silicon-rich silicon nitride or a silicon oxy- nitride (SiO x N y (H z )). For example, the first charge-trapping layer 244a can include a silicon oxynitride layer having a thickness of between about 1.5 nm and about 4.0 nm formed by a CVD process using dichlorosilane (DCS)/ammonia (NH 3 ) and nitrous oxide (N 2 0)/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer.

[0040] The second charge-trapping layer 244b of the multilayer charge-trapping layer is then formed over the first charge-trapping layer 244a. The second charge- trapping layer 244b can include a silicon nitride and silicon oxy-nitride layer having a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the first charge-trapping layer 244a. The second charge-trapping layer 244b can include a silicon oxynitride layer having a thickness of between about 2.0 nm and about 10.0 nm, and may be formed or deposited by a CVD process using a process gas including DCS/NH 3 and N 2 0/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.

[0041] As used herein, the terms "oxygen-rich" and "silicon-rich" are relative to a stoichiometric silicon nitride, or "nitride," commonly employed in the art having a composition of (Si 3 N 4 ) and with a refractive index (RI) of approximately 2.0. Thus, "oxygen-rich" silicon oxynitride entails a shift from stoichiometric silicon nitride toward a higher wt. % of silicon and oxygen (i.e. reduction of nitrogen). An oxygen rich silicon oxynitride film is therefore more like silicon dioxide and the RI is reduced toward the 1.45 RI of pure silicon dioxide. Similarly, films described herein as "silicon-rich" entail a shift from stoichiometric silicon nitride toward a higher wt. % of silicon with less oxygen than an "oxygen-rich" film. A silicon-rich silicon oxynitride film is therefore more like silicon and the RI is increased toward the 3.5 RI of pure silicon.

[0042] Referring again to FIG. 2L, the number of dielectric layers further includes a blocking dielectric layer or blocking layer 246 that is formed on or overlying the charge-trapping layer 244. In one embodiment, the blocking layer 246 can include an oxidized portion of the silicon nitride of the underlying second charge-trapping layer 244b, which is subsequently oxidized by in-situ-steam-generation (ISSG), or radical oxidation to form the blocking layer 246. In other embodiments, the blocking layer 246 can include a silicon oxide (Si0 2 ) or a silicon oxynitride (SiON), deposited by CVD, and performed in a batch or single substrate processing chamber with or without an ignition event such as plasma. The blocking layer 246 can be a single layer of silicon oxide, having a substantially homogeneous composition, a single layer of silicon oxynitride having a gradient in stoichiometric composition, or, as in embodiments described below, can be a multilayer blocking layer including at least a lower or first blocking layer overlying the second charge-trapping layer 244b, and a second blocking layer overlying the first blocking layer.

[0043] In one embodiment, the blocking layer 246 can include a silicon nitride, a silicon-rich silicon nitride or a silicon-rich silicon oxynitride layer having a thickness of between 2.0 nm and 4.0 nm formed by a CVD process using N 2 O/NH 3 and DCS/NH 3 gas mixtures.

[0044] Referring to FIGs. 1 and 2M, a gate oxide or GOX preclean is performed, and gate oxides for MOS transistors 210a-210b formed (step 130). Referring to FIG. 2M, the GOX preclean removes the remaining pad oxides 214 in the MOS regions 212 and at least a portion of the blocking layer 246 in a highly selective cleaning process. This cleaning process prepares the substrate 204 in the MOS region 212 for gate oxide growth. In one exemplary implementation the pad oxide 214 is removed in a wet clean process. Alternatively, the wet clean process can be performed using a 20: 1 BOE wet etch, a 50: 1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry. In other embodiments, the cleaning process chemistry is chosen so as to remove only a negligible portion of the blocking layer 246.

[0045] In some embodiments, such as that shown in FIG. 2M, the oxidation process to form gate oxides for MOS transistors 210a-210b is a dual gate oxidation process to enable fabrication of both a first, thick, gate oxide 248 over the surface 216 of the substrate 204 in part of the MOS region 212 for a HV transistor, such as I/O MOSFET 210b in the I/O circuit of the NVM, and a second, thinner gate oxide 250 for LV transistors, such as core MOSFET 210a. Generally, the dual gate oxidation process involves forming the thicker gate oxide 248 over substantially all of the MOS region 212, using any known oxidation process in accordance with the methods described herein, forming a patterned photoresist mask using standard lithographic techniques covering the I/O MOSFET 210b and NVM region 208, and removing the thick gate oxide covering core MOSFET 210a by a wet etch process using a 10: 1 buffered oxide etch (BOE) containing a surfactant, after which the photoresist mask is stripped or removed, and the second, thinner gate oxides 250 grown or deposited. The thinner gate oxides 250 can be grown, for example, to a thickness from about 1 nm to about 3 nm. It will be understood that, by controlling the thickness of the thick gate oxide 248 as initially formed there is no need to form an additional photoresist mask over the I/O MOSFET 210b during subsequent formation of the thinner gate oxide 250 since the additional oxide merely adds insubstantially to the thickness of the thick gate oxide. Similarly, the oxidation process to form the thinner gate oxides 250 will have little to no detrimental impact on the blocking layer 246.

[0046] In another embodiment, the oxidation process to form the thick gate oxide

248 is also used to concurrently form a high temperature oxide (HTO) over the dielectric stack 240 of the SONOS devices 206 to provide a thicker oxide blocking layer 246 or an additional HTO layer of a multilayer blocking layer. The oxidation process can include in-situ-steam-generation (ISSG), CVD, or radical oxidation performed in a batch or single substrate processing chamber with or without an ignition event such as plasma. For example, in one embodiment the thick gate oxide 248 and the additional or thicker oxide layer of the blocking layer 246 may be grown in a radical oxidation process involving flowing hydrogen (H 2 ) and oxygen (0 2 ) gas into a processing chamber at a ratio to one another of approximately 1: 1 without an ignition event, such as forming of a plasma, which would otherwise typically be used to pyrolyze the H 2 and 0 2 to form steam. Instead, the H 2 and 0 2 are permitted to react at a temperature approximately in the range of 800- 1000°C at a pressure approximately in the range of 0.5 - 10 Torr to form radicals, such as, an OH radical, an H0 2 radical or an O diradical radicals at a surface of the blocking layer 246. The oxidation process is carried out for a duration approximately in the range of 1-5 minutes for a single substrate using an ISSG process, or 10-15 minutes for a batch furnace process to effect growth of the blocking layer 246 having a thickness of from about 2nm to about 4.5 nm, and a thick gate oxide 248 having a thickness of from about 3nm to about 7 nm.

[0047] Next, referring to FIGs. 1 and 2N-20, a gate layer is deposited and patterned to concurrently form a gates 252 for the MOS devices 210a, 210b, and the SONOS devices 206a, 206b (step 132). Generally, the gate layer is a conductive, conformal layer deposited over substantially the entire surface 216 of the substrate 204 and all layers and structures formed thereon. A patterned photoresist mask (not shown) is then formed using standard lithographic techniques and the gate layer etched to remove the gate layer from areas not protected by the mask, stopping on top surfaces of the gate oxides 248, 250, and the dielectric stack (blocking layer 246) of the SONOS devices 206a, 206b.

[0048] In one embodiment, the gate layer includes a doped polysilicon or poly layer deposited using chemical vapor deposition (CVD) to a thickness of from about 30 nm to about 100 nm, and etched using standard polysilicon etch chemistries, such as CHF 3 or C 2 H 2 or HBr/0 2 which are highly selective to the underlying material of the gate oxides 248, 250 and the dielectric stack 240. The polysilicon can be doped using phosphorus implant for NMOS and Boron implant for PMOS transistors. The implant doses are in the range of 1E15 to lE16/cnr at energies of 2 to 50 KeV.

[0049] Referring to FIG. 20, in some embodiments the gate layer is a multi-layer gate layer including one or more layers of a high work function or P+ metal, such as aluminum, Titanium or compounds or alloys thereof, in addition to or instead of polysilicon to form multi-layer gates 252 including a first, high work function metal layer 252a and a second polysilicon layer 252b.

[0050] Referring to FIGs. 1 and 2P a spacer layer is deposited and etched to form sidewall spacers 254 (spacer 1) adjacent to the gates 252 of the MOS and SONOS devices (step 134). The spacer layer can include a conformal layer of a dielectric material, such as silicon oxide (Si02) or silicon nitride (SiN), deposited to a thickness of from about 10 nm to about 30 nm, using any known CVD technique as described herein. In an embodiment, where the spacer layer 254 includes silicon nitride, the etch may be accomplished or performed in a number of different ways including, for example, a low pressure blanket or spacer etch at a moderate power (about 500W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 . Because no mask is used and the etching is highly anisotropic, substantially all of the spacer layer is etched or removed from the exposed surface 216 of the substrate 204, as well as horizontal surface of the gates 252, parallel to the surface of the substrate leaving spacers 254 adjacent to sidewalls of the gates of the of the MOS and SONOS devices.

[0051] Referring to FIG. 2P, it is noted that in embodiments in which the spacer layer includes an oxide, such as silicon-dioxide (Si0 2 ), any of the dielectric stack 240 as well as any of the GOX 248, 250, remaining on the surface 216 of the substrate 204 and not covered by the gates 252 is advantageously removed along with portions of the spacer layer removed to form the spacers 254.

[0052] Referring to FIGs. 1 and 2Q, a patterned mask (not shown) is formed and source and drain (S/D) implants are performed to form source and drain (S/D) regions 256 for both the MOS devices 210a,210b, and the SONOS devices 206a,206b (step 136). The patterned mask can include a photoresist mask or a hardmask patterned to expose only the S/D regions of the SONOS and MOS devices. It is noted that in FIG. 2Q and following FIG. 2R only a portion of the substrate 204 including N-SONOS device 206b and core MOS device 210a are shown in order to more clearly show details of the S/D implants and silicides formed in subsequent step. It will be understood that as described in the detailed description above and below, the above S/D implant step as well as the silicides step below are performed on the P-SONOS device 206a and I/O MOS device 210b as well.

[0053] Referring to FIGs. 1, 2R and 2S, a silicide 258 is formed over the surface

216 of the substrate 204 in all S/D regions and a local interconnect and a metallization performed interconnecting some of the devices (step 138). The silicide process may be any commonly employed in the art, typically including a pre-clean etch, nickel metal deposition, anneal and wet strip. Advantageously, because the MOS devices 210a, 210b, and the complementary pair of SONOS devices (P-SONOS 206a and N-SONOS 206b) are integrally formed on the same substrate 204, the metallization process can be used to form a first metal layer 260a or local interconnect (LI) electrically coupling or connecting the drain of the N-SONOS device to the drain of the PSONOS device. Optionally, as shown in the sources of the P-SONOS device 206a and N-SONOS device 206b may be further connected by a second metal layer 260b or LI to one of the MOS devices 210a,210b, as shown in FIG. 2S, or connected to ohmic contacts (not shown) formed in the substrate 204. The metallization process may be any commonly employed in the art, typically including a pre-clean etch, metal deposition by CVD or PECVD, anneal and wet strip. Suitable metals for the metallization process include titanium (Ti), tantalum (Ta), tungsten (W) and nitrides or alloys thereof. In one embodiment, the metal layers 260a, 260b, are tungsten (W) deposited by CVD over a titanium (Ti) seed layer, and a titanium- nitride (TiN) barrier layer.

[0054] Finally, the standard or baseline CMOS process flow is continued to substantially complete the front end fabrication a non- volatile memory including a pair of complementary SONOS devices integrally formed with a number of MOS devices, including at least one pair of CMOS devices.

[0055] An embodiment of another method for integrating or embedding

CSONOS into a CMOS process flow process flow will now be described in detail with reference to FIG. 3 and FIGs. 4A through 4J. FIG. 3 is a flowchart illustrating an embodiment of a method or process flow in which a hardmask is deposited over a surface of substrate after tunnel masks (TUNM) are formed for the CSONOS and SONOS wells and/or channels implanted. FIGs. 4A through 4J are block diagrams illustrating cross- sectional views of a portion of a memory cell 200, including a pair of complementary SONOS devices and a number of MOS devices, two of which are shown, during fabrication of the memory cell according to the method of FIG. 3.

[0056] As with the hardmask-first method described above the process begins with forming a number of isolation structures 202 in a wafer or substrate 204 and implanting dopants into substrate 204 through the pad oxide 214 to form channels 218 and wells 220 for one or more of the MOS devices 210a-210b. At this point the memory cell 200 is substantially identical to that described above following steps 102 and 104, and shown in FIG. 2A.

[0057] Next, referring to FIGs. 3 and 4A, a first tunnel mask (TUNM 224) is formed by depositing a photoresist layer on or overlying substantially an entire surface 216 of the substrate 204, patterning the photoresist layer using standard lithographic techniques (step 302). The deposition and patterning is accomplished in the same manner as that described in connection with step 108 above. It is noted that the pad oxides 214 isolates the surface 216 of the substrate 204 from the photoresist of first TUNM 224 in all active regions of the SONOS and MOS devices 206,210.

[0058] Referring again to FIG. 3 and FIG. 4A, dopants of an appropriate energy and concentration are implanted through the pad oxide 214 to form a channel 228 for the N-SONOS device 206b, and, optionally, a well (not shown in this figure) in which the channel for the N-SONOS device is formed (step 304).

[0059] Referring to FIG. 3 and FIG. 4B, the first or N-TUNM 224 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 306).

[0060] Next, referring to FIG. 3 and FIG. 4C, a second tunnel mask (TUNM 230) is formed by depositing a photoresist layer on or over substantially an entire surface of the surface 216 and patterned using standard lithographic techniques (step 308). Because an opening 232 in the patterned photoresist layer exposes the P-SONOS region 208a, the second TUNM 230 may also be referred to as the P-TUNM. [0061] Referring again to FIG. 3 and FIG. 4C, dopants of an appropriate energy and concentration are implanted through the pad oxide 214 to form a channel 234 and a well or deep well 236 in which the P-SONOS device 206a is formed (step 310).

[0062] Referring to FIG. 3 and FIG. 4D, the second or P-TUNM 230 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 312).

[0063] Next, referring to FIG. 3 and FIG. 4E a hardmask 264 is deposited over the surface 216 of the substrate 204 (step 314). The hardmask 264 is formed concurrently over both the P-SONOS region 208a and the N-SONOS region 208b. In some embodiments, such as that shown, the hardmask 264 is formed concurrently over substantially the entire surface 216 of the substrate 204, including both the P-SONOS region 208a and the N-SONOS region 208b and the MOS region 212.

[0064] Generally, the hardmask 264, like the hardmask 222 described above, can include can one or more layers of material that can be patterned or opened using photoresist and standard lithographic techniques, but which is not itself photosensitive and protects underlying surface and structures formed therein from the photoresist and lithographic processes as well as from implants and etch process performed through openings formed in the hardmask. Suitable materials for the hardmask 264 include, for example, a layer of from about 5 to about 20 nm of silicon nitride (Si x N y ), or silicon oxynitride (SiON) deposited by any known nitride deposition process. For example, in one embodiment a nitride hardmask is formed in step 314 in a low pressure chemical vapor deposition (LPCVD) process using a silicon source, such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis-TertiaryButylAmino Silane (BTBAS), and a nitrogen source, such as NH 3 and N 2 0.

[0065] Next, referring to FIG. 3 and FIG. 4F, a third tunnel mask (TUNM 266) is formed by depositing a photoresist layer on or over substantially an entire surface of the hardmask 264, and patterned using standard lithographic techniques (step 316). Because an opening 268 in the patterned photoresist layer exposes a portion of the hardmask 264 in both the P-SONOS region 208a and the N-SONOS region 208b the third TUNM 266 may also be referred to as a complementary tunnel mask or C-TUNM.

[0066] Referring to FIG. 3 and FIG. 4G, the portion of the hardmask 264 exposed through the opening 268 in the third or C-TUNM 266 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 318). For example, as described above in connection with N-TUNM 224 and P-TUNM 230, in those embodiments in which the hardmask 264 includes a layer of silicon nitride overlying a pad oxide 214, the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (Si02) of the underlying pad oxide 214 and/or the STI 202 structures.

[0067] Referring to FIG. 3 and FIG. 4H, the second or C-TUNM 266 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 320).

[0068] Next, referring to FIG. 3 and FIG. 41, the pad oxide 214 over both the P-

SONOS region 208a and the N-SONOS region 208b is concurrently removed in a tunnel mask etch through the opening formed in the hardmask 466 (step 322). The tunnel mask etch can be accomplished, for example, in a wet clean process using a 10: 1 buffered oxide etch (BOE) containing a surfactant. Alternatively, the wet clean process can be performed using a 20: 1 BOE wet etch, a 50: 1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric -based wet etching chemistry.

[0069] Finally, referring to FIG. 3 and FIG. 4J, the hardmask 264 is substantially entirely stripped or removed (step 324). The hardmask 264 can be removed using the same process and chemistry previously used to form openings in the hardmask. For example, in embodiments in which the hardmask 264 includes a silicon nitride layer, it can be removed using a standard low pressure nitride etch at a moderate power (about 500W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (Si02) of the pad oxide 214 remaining over the MOS devices 210a-210b in the MOS region 212 and the STI 202 structures, and to the underlying silicon of the substrate in the SONOS region 208. The silicon nitride can also be removed by a wet etch using Phosphoric acid (H 3 P0 4 ) at a temperature of about 150°C to 160C.

[0070] The method then continues as provided in steps 128 through 138 as described above, and a standard or baseline CMOS process flow is performed to substantially complete the front end fabrication of a non-volatile memory including a pair of complementary SONOS devices integrally formed with a number of MOS devices, including at least one pair of CMOS devices.

[0071] In an alternative embodiment of either of the methods shown in FIGs. 1 and 3, prior to depositing the hardmask 222/264 a well or wells are concurrently implanted for at least one of the number of MOS devices in the MOS region and a well for one of the pair of complementary SONOS devices in the P-SONOS region or the N- SONOS region. FIG. 5 is a block diagram illustrating cross-sectional views of a portion of a memory cell fabricated to include a deep Nwell 270 concurrently formed in the P- SONOS region 208a and the MOS region 212 in which the core MOS 210a is subsequently formed. As noted above, the deep Nwell 270 can be implanted with Arsenic or Phosphorous at an energy of from about 500 to about 2000 kilo-electron volts (keV), and a dose of from about 5E12/cm 2 to about 2E13/cm 2 to form a deep Nwell. Furthermore, although the P-SONOS region 208a is shown as having been relocated to be adjacent to the MOS region 212 in which the core MOS 210a is formed and the deep Nwell 270 is shown as being one contiguous well, it will be understood that this need not be the case in every embodiment, and the wells can concurrently be formed while remaining separate or non-contiguous by appropriate patterning of an implant mask.

[0072] Thus, embodiments of methods for fabricating memory cells including embedded or integrally pair of complementary SONOS devices and a number of MOS devices have been described. Although the present disclosure has been described with reference to specific exemplary embodiments, it will be evident that various modifications and changes may be made to these embodiments without departing from the broader spirit and scope of the disclosure. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

[0073] The Abstract of the Disclosure is provided to comply with 37 C.F.R.

§ 1.72(b), requiring an abstract that will allow the reader to quickly ascertain the nature of one or more embodiments of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. In addition, in the foregoing Detailed Description, it can be seen that various features are grouped together in a single embodiment for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted as reflecting an intention that the claimed embodiments require more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive subject matter lies in less than all features of a single disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment.

[0074] Reference in the description to one embodiment or an embodiment means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the circuit or method. The appearances of the phrase one embodiment in various places in the specification do not necessarily all refer to the same embodiment.