Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
COMPOSITIONS AND METHODS FOR THE DEPOSITION OF SILICON OXIDE FILMS
Document Type and Number:
WIPO Patent Application WO/2018/053129
Kind Code:
A1
Abstract:
Described herein are compositions and methods for forming silicon oxide films. In one aspect, the film is deposited from at least one silicon precursor compound, wherein the at least one silicon precursor compound is selected from the following Formulae A and B: as defined herein.

Inventors:
MACDONALD MATTHEW R (US)
LEI XINJIAN (US)
WANG MEILIANG (US)
HO RICHARD (US)
XIAO MANCHAO (US)
RAJARAMAN SURESH KALPATU (US)
Application Number:
PCT/US2017/051561
Publication Date:
March 22, 2018
Filing Date:
September 14, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
VERSUM MAT US LLC (US)
International Classes:
C23C16/40; C23C16/455; C23C16/50
Domestic Patent References:
WO2008038255A12008-04-03
WO2008045226A12008-04-17
WO2008038255A12008-04-03
WO2014160523A12014-10-02
Foreign References:
US20110104906A12011-05-05
US20150087139A12015-03-26
US20080241388A12008-10-02
JP2010275602A2010-12-09
JP2010225663A2010-10-07
US5424095A1995-06-13
US20120291321A12012-11-22
US20130295779A12013-11-07
US7084076B22006-08-01
US6992019B22006-01-31
Other References:
ABEL, E.W ET AL.: "Some New Alkylaminosilanes", J. CHEM. SOC., vol. 26, 1964, pages 1528 - 1530
BURTON, B. B. ET AL.: "SiOz Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy", THE JOURNAL OF PHYSICAL CHEMISTRY, vol. 113, 2009, pages 8249 - 57, XP055066731, DOI: 10.1021/jp806638e
KILEM S ET AL.: "Intramolecular reaarrangement of organosilyl groups between oxygen and nitrogen in aminosiloxanes - a joint experimental -theoretical study, part II", JOURNL OF ORGANOMETALLIC CHEMISTRY, vol. 690, 2005, pages 1100 - 1119
See also references of EP 3516089A4
Attorney, Agent or Firm:
ROSSI, Joseph D. (US)
Download PDF:
Claims:
CLAIMS

1. A method to deposit a film comprising silicon and oxygen onto a substrate comprises steps of:

a) providing a substrate in a reactor;

b) introducing into the reactor at least one silicon precursor compound, wherein the at least one silicon precursor compound is selected from the group consisting of Formulae A and B:

wherein

R1 is independently selected from a linear C1 to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 heterocyclic group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group;

R2 is selected from the group consisting of hydrogen, a C1 to C10 linear alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 heterocyclic group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group, wherein R1 and R2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure;

R3"8 are each independently selected from hydrogen, a linear d to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C2 to C10 alkenyl group, a C2 to C10 alkynyl group, and a C4 to C10 aryl group;

X is selected from the group consisting of hydrogen, a linear C1 to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C2 to C10 alkenyl group, a C2 to C10 alkynyl group, a C4 to C10 aryl group, a halide, and NR9R10 , where R9and R10 are each independently selected from hydrogen, a C1 to C6 linear alkyl group, a branched C3 to C6 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group, wherein R9 and R10 are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure, and wherein R1 and R9 are either linked to form a cyclic ring or are not linked to form a cyclic ring;

c) purging the reactor with purge gas;

d) introducing an oxygen-containing source into the reactor; and

e) purging the reactor with purge gas,

wherein steps b through e are repeated until a desired thickness of the film is deposited, and

wherein the method is conducted at one or more temperatures ranging from about 25°C to 600°C.

2. The method of claim 1 , wherein the at least one silicon precursor compound is at least one selected from the group consisting of 1 -dimethylaminodisiloxane, 1 - diethylaminodisiloxane, 1 -di-iso-propylaminodisiloxane, 1 -di-sec- butylaminodisiloxane, 1 -phenylmethylaminodisiloxane, 1 - phenylethylaminodisiloxane, 1 -cyclohexylmethylaminodisiloxane, 1 - cyclohexylethylaminodisiloxane, 1 -piperidinodisiloxane, 1 -(2,6- dimethylpiperidino)disiloxane, 1 -dimethylamino-1 ,3-dimethyldisiloxane, 1 - diethylamino-1 ,3-dimethyldisiloxane, 1 -di-iso-propylamino-1 ,3-dimethyldisiloxane, 1 - di-sec-butylamino-1 ,3-dimethyldisiloxane, 1 -phenylmethylamino-1 ,3- dimethyldisiloxane, 1 -phenylethylamino-1 ,3-dimethyldisiloxane, 1 - cyclohexylmethylamino-1 ,3-dimethyldisiloxane, 1 -cyclohexylethylamino-1 ,3- dimethyldisiloxane, 1 -dimethylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 -diethylamino- 1 ,1 ,3,3-tetramethyldisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 - di-sec-butylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 -phenylmethylamino-1 ,1 ,3,3- tetramethyldisiloxane, 1 -phenylethylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 - dimethylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -diethylamino-1 ,1 ,3,3,3- pentamethyldisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -di- sec-butylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -pyrrolyl-1 ,1 ,3,3,3- pentamethyldisiloxane, 1 -pyrrolidino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -piperidino- 1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -(2,6-dimethylpiperidino)-3,3,3- pentamethyldisiloxane, 1 -iso-propylamino-3,3,3-trimethyldisiloxane, 1 -tert- butylamino-3,3,3-trimethyldisiloxane, 1 -dimethylamino-3,3,3-trimethyldisiloxane, 1 - diethylamino-3,3,3-trimethyldisiloxane, 1 -di-iso-propylamino-3,3,3- trimethyldisiloxane, 1 -di-sec-butylamino-3,3,3-trimethyldisiloxane, 1 - cyclohexylmethylamino-3,3,3-trirTiethyldisiloxane, 1 -cyclohexylethylamino-3,3,3- trimethyldisiloxane, 1 -piperidino-3,3,3-trimethyldisiloxane, 1 -(2,6-dimethylpiperidino)- 3,3,3-trimethyldisiloxane, 1 -dimethylamino-3,3-diiTiethyldisiloxane, 1 -diethylamino- 3,3-dimethyldisiloxane, 1 -di-iso-propylamino-3,3-dimethyldisiloxane, 1 -di-sec- butylamino-3,3-dimethyldisiloxane, 1 -cyclohexylmethylamino-3,3-dirTiethyldisiloxane, 1 -cyclohexylethylamino-3,3-dimethyldisiloxane, 1 -piperidino-3,3-dimethyldisiloxane, 1 -(2,6-dimethylpiperidino)-3,3-diiTiethyldisiloxane, 1 ,3-bis(dimethylamino)disiloxane, 1 ,3-bis(diethylamino)disiloxane, 1 ,3-bis(di-iso-propylamino)disiloxane, 1 ,3-bis(di-sec- butylamino)disiloxane, 1 ,3-bis(dimethylamino)-1 ,3-dimethyldisiloxane, 1 ,3- bis(diethylamino)-1 ,3-dimethyldisiloxane, 1 ,3-bis(di-iso-propylamino)-1 ,3- dimethyldisiloxane, 1 ,3-bis(di-sec-butylamino)-1 ,3-dimethyldisiloxane, 1 ,3- bis(dimethylamino)-1 ,1 ,3,3-tetramethyldisiloxane, 1 ,3-bis(diethylamino)-1 ,1 ,3,3- tetramethyldisiloxane, 1 -dimethylamino-1 ,1 ,3,3-tetramethyl-3-chlorodisiloxane, 1 - diethylamino-1 ,1 ,3,3-tetramethyl-3-chlorodisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3- tetramethyl-3-chlorodisiloxane, 1 -di-sec-butylamino-1 ,1 ,3,3-tetramethyl-3- chlorodisiloxane, 1 -dimethylamino-1 ,1 ,3,3-tetramethyl-3-bromodisiloxane, 1 - diethylamino-1 ,1 ,3,3-tetramethyl-3-bromodisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3- tetramethyl-3-bromodisiloxane, 1 -di-sec-butylamino-1 ,1 ,3,3-tetramethyl-3- bromodisiloxane, 1 -tert-butylaminodisiloxane, 1 -iso-propylaminodisiloxane, 1 -tert- butylamino-1 ,1 ,3,3-tetramethyldisiloxane , 1 -iso-propyl-1 ,1 ,3,3- tetramethyldisiloxane, 1 -dimethylaminotrisiloxane, 1 -diethylaminotrisiloxane, 1 -iso- propylaminotrisiloxane, 1 -di-sec-butylaminotrisiloxane, 1 - phenylmethylaminotrisiloxane, 1 -phenylethylaminotrisiloxane, 1 - cyclohexylmethylaminotrisiloxane, 1 -cyclohexylethylaminotrisiloxane, 1 - piperidinotrisiloxane, 1 -(2,6-dimethylpiperidino)trisiloxane, 1 -dimethylamino- 1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -diethylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -sec-butylamino-1 ,1 ,3,3,5,5- hexamethyltrisiloxane, 1 -phenylmethylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 - phenylethylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5-bis(dimethylamino)- 1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5-bis(diethylamino)-1 ,1 ,3,3,5,5- hexamethyltrisiloxane, 1 ,5-bis(di-iso-propylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5-bis(sec-butylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5- bis(phenylmethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5- bis(phenylethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -di-iso-propylamino- 3,3,5,5,5-pentamethyltrisiloxane, 1 -sec-butylamino-3,3,5,5,5-pentamethyltrisiloxane, 1 -cyclohexylmethylamino-3,3,5,5,5-pentamethyltrisiloxane, 1 -cyclohexylethylamino- 3,3,5,5,5-pentamethyltrisiloxane, 1 -piperidino-3,3,5,5,5-pentamethyltrisiloxane, 1 - (2,6-dimethylpiperidino)-3,3,5,5,5-pentamethyltrisiloxane, 1 -dimethylamino- 1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -diethylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 - sec-butylamino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -cyclohexylmethylamino- 1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -cyclohexylethylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -piperidino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -(2,6- dimethylpiperidino)-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -pyrrolyl-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, and 1 -pyrrolidino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane.

3. The method of claim 1 , wherein the oxygen-containing source is selected from the group consisting of an ozone, an oxygen plasma, a plasma comprising oxygen and argon, a plasma comprising oxygen and helium, an ozone plasma, a water plasma, a nitrous oxide plasma, a carbon dioxide plasma, a carbon monoxide plasma, and combinations thereof.

4. The method of claim 1 wherein the oxygen-containing source comprises plasma.

5. The method of claim 4 wherein the plasma is generated in situ.

6. The method of claim 4 wherein the plasma is generated remotely.

7. The method of claim 4 wherein the film has a density of about 2.1 g/cc or greater.

8. The method of claim 1 wherein the film further comprises carbon.

9. The method of claim 8 wherein the film has a density of about 1.8 g/cc or greater.

10. The method of claim 8 wherein a carbon content of the film is 0.5 atomic weight percent (at.%) as measured by x-ray photospectroscopy or greater.

1 1. A composition for depositing a film selected from a silicon oxide or a carbon doped silicon oxide film using a vapor deposition process, the composition comprising: at least one silicon precursor compound selected from the group consisting of Formula A and B:

wherein

R1 is independently selected from a linear C1 to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 heterocyclic group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group;

R2 is selected from the group consisting of hydrogen, a C1 to C10 linear alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 heterocyclic group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group, wherein R1 and R2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure;

R3"8 are each independently selected from hydrogen, a linear d to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C2 to C10 alkenyl group, a C2 to C10 alkynyl group, and a C4 to C10 aryl group;

X is selected from the group consisting of hydrogen, a linear C1 to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C2 to C10 alkenyl group, a C2 to C10 alkynyl group, a C4 to C10 aryl group, halide (CI, Br, I), and NR9R10 where R9and R10 are each independently selected from hydrogen, a C1 to C6 linear alkyl group, a branched C3 to C6 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group, wherein R9 and R10 are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure, and wherein R1 and R9 are either linked to form a cyclic ring or are not linked to form a cyclic ring, and wherein the composition is substantially free of one or more impurities selected from the group consisting of a halide, water, metal ions, and combinations thereof.

12. The composition of claim 1 1 , wherein the at least one silicon precursor compound is at least one selected from the group consisting of 1 -dimethylaminodisiloxane, 1 - diethylaminodisiloxane, 1 -di-iso-propylaminodisiloxane, 1 -di-sec- butylaminodisiloxane, 1 -phenylmethylaminodisiloxane, 1 - phenylethylaminodisiloxane, 1 -cyclohexylmethylaminodisiloxane, 1 - cyclohexylethylaminodisiloxane, 1 -piperidinodisiloxane, 1 -(2,6- dimethylpiperidino)disiloxane, 1 -dimethylamino-1 ,3-dimethyldisiloxane, 1 - diethylamino-1 ,3-dimethyldisiloxane, 1 -di-iso-propylamino-1 ,3-dimethyldisiloxane, 1 - di-sec-butylamino-1 ,3-dimethyldisiloxane, 1 -phenylmethylamino-1 ,3- dimethyldisiloxane, 1 -phenylethylamino-1 ,3-dimethyldisiloxane, 1 - cyclohexylmethylamino-1 ,3-dimethyldisiloxane, 1 -cyclohexylethylamino-1 ,3- dimethyldisiloxane, 1 -dimethylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 -diethylamino- 1 ,1 ,3,3-tetramethyldisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 - di-sec-butylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 -phenylmethylamino-1 ,1 ,3,3- tetramethyldisiloxane, 1 -phenylethylamino-1 ,1 ,3,3-tetramethyldisiloxane, 1 - dimethylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -diethylamino-1 ,1 ,3,3,3- pentamethyldisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -di- sec-butylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -pyrrolyl-1 ,1 ,3,3,3- pentamethyldisiloxane, 1 -pyrrolidino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -piperidino- 1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -(2,6-dimethylpiperidino)-3,3,3- pentamethyldisiloxane, 1 -iso-propylamino-3,3,3-trimethyldisiloxane, 1 -tert- butylamino-3,3,3-trimethyldisiloxane, 1 -dimethylamino-3,3,3-trimethyldisiloxane, 1 - diethylamino-3,3,3-trimethyldisiloxane, 1 -di-iso-propylamino-3,3,3- trimethyldisiloxane, 1 -di-sec-butylamino-3,3,3-trimethylclisiloxane, 1 - cyclohexylmethylamino-3,3,3-trirTiethylclisiloxane, 1 -cyclohexylethylamino-3,3,3- trimethyldisiloxane, 1 -piperidino-3,3,3-trimethyldisiloxane, 1 -(2,6-dimethylpiperidino)- 3,3,3-trimethyldisiloxane, 1 -dimethylamino-3,3-diiTiethyldisiloxane, 1 -diethylamino- 3,3-dimethyldisiloxane, 1 -di-iso-propylamino-3,3-dimethyldisiloxane, 1 -di-sec- butylamino-3,3-dimethyldisiloxane, 1 -cyclohexylmethylamino-3,3-dirTiethyldisiloxane, 1 -cyclohexylethylamino-3,3-dimethyldisiloxane, 1 -piperidino-3,3-dimethyldisiloxane, 1 -(2,6-dimethylpiperidino)-3,3-diiTiethyldisiloxane, 1 ,3-bis(dimethylamino)disiloxane, 1 ,3-bis(diethylamino)disiloxane, 1 ,3-bis(di-iso-propylamino)disiloxane, 1 ,3-bis(di-sec- butylamino)disiloxane, 1 ,3-bis(dimethylamino)-1 ,3-dimethyldisiloxane, 1 ,3- bis(diethylamino)-1 ,3-dimethyldisiloxane, 1 ,3-bis(di-iso-propylamino)-1 ,3- dimethyldisiloxane, 1 ,3-bis(di-sec-butylamino)-1 ,3-dimethyldisiloxane, 1 ,3- bis(dimethylamino)-1 ,1 ,3,3-tetramethyldisiloxane, 1 ,3-bis(diethylamino)-1 ,1 ,3,3- tetramethyldisiloxane, 1 -dimethylamino-1 ,1 ,3,3-tetramethyl-3-chlorodisiloxane, 1 - diethylamino-1 ,1 ,3,3-tetramethyl-3-chlorodisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3- tetramethyl-3-chlorodisiloxane, 1 -di-sec-butylamino-1 ,1 ,3,3-tetramethyl-3- chlorodisiloxane, 1 -dimethylamino-1 ,1 ,3,3-tetramethyl-3-bromodisiloxane, 1 - diethylamino-1 ,1 ,3,3-tetramethyl-3-bromodisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3- tetramethyl-3-bromodisiloxane, 1 -di-sec-butylamino-1 ,1 ,3,3-tetramethyl-3- bromodisiloxane, 1 -tert-butylaminodisiloxane, 1 -iso-propylaminodisiloxane, 1 -tert- butylamino-1 ,1 ,3,3-tetramethyldisiloxane , 1 -iso-propyl-1 ,1 ,3,3- tetramethyldisiloxane, 1 -dimethylaminotrisiloxane, 1 -diethylaminotrisiloxane, 1 -iso- propylaminotrisiloxane, 1 -di-sec-butylaminotrisiloxane, 1 - phenylmethylaminotrisiloxane, 1 -phenylethylaminotrisiloxane, 1 - cyclohexylmethylaminotrisiloxane, 1 -cyclohexylethylaminotrisiloxane, 1 - piperidinotrisiloxane, 1 -(2,6-dimethylpiperidino)trisiloxane, 1 -dimethylamino- 1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -diethylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -sec-butylamino-1 ,1 ,3,3,5,5- hexamethyltrisiloxane, 1 -phenylmethylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 - phenylethylamino-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5-bis(dimethylamino)- 1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5-bis(diethylamino)-1 ,1 ,3,3,5,5- hexamethyltrisiloxane, 1 ,5-bis(di-iso-propylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5-bis(sec-butylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5- bis(phenylmethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 ,5- bis(phenylethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane, 1 -di-iso-propylamino- 3,3,5,5,5-pentamethyltrisiloxane, 1 -sec-butylamino-3,3,5,5,5-pentamethyltrisiloxane, 1 -cyclohexylmethylamino-3,3,5,5,5-pentamethyltrisiloxane, 1 -cyclohexylethylamino- 3,3,5,5,5-pentamethyltrisiloxane, 1 -piperidino-3,3,5,5,5-pentamethyltrisiloxane, 1 - (2,6-dimethylpiperidino)-3,3,5,5,5-pentamethyltrisiloxane, 1 -dimethylamino- 1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -diethylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -di-iso-propylamino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 - sec-butylamino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -cyclohexylmethylamino- 1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -cyclohexylethylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -piperidino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -(2,6- dimethylpiperidino)-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -pyrrolyl-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, and 1 -pyrrolidino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, and combinations thereof.

13. The composition of claim 1 1 , wherein the halide comprises chloride ions.

14. The composition of claim 13, wherein the chloride ion concentration is less than 50 ppm.

15. The composition of claim 13, wherein the chloride ion concentration is less than 10 ppm.

16. The composition of claim 13, wherein the chloride ion concentration is less than 5 ppm.

17. A film obtained by the method of claim 1.

18. A film comprising at least one of the following characteristics a density of at least about 2.1 g/cc; a wet etch rate that is less than about 2.5 A/s as measured in a solution of 1 :100 of HF to water (0.5 wt% dHF) acid; an electrical leakage of less than about 1 e-8 A/cm2 up to 6 MV/cm; and a hydrogen impurity of less than about 5 e20 at/cc as measured by SIMS.

19. A method to deposit a film comprising silicon and oxide onto a substrate comprises steps of:

a) providing a substrate in a reactor;

b) introducing into the reactor at least one silicon precursor compound, wherein the at least one silicon precursor compound is selected from the group consisting of Formulae A and B:

wherein

R1 is independently selected from a linear C1 to C10 alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 heterocyclic group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group;

R2 is selected from the group consisting of hydrogen, a C1 to C10 linear alkyl group, a branched C3 to C10 alkyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 heterocyclic group, a C3 to C10 alkenyl group, a C3 to C10 alkynyl group, and a C4 to C10 aryl group, wherein R1 and R2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure; and

R3"8 and X are methyl;

c) purging the reactor with purge gas;

d) introducing an oxygen-containing source into the reactor; and

e) purging the reactor with purge gas,

wherein steps b through e are repeated until a desired thickness of film is deposited, and

wherein the method is conducted at one or more temperatures ranging from about 600°C to 800°C.

20. The method of claim 19, wherein the at least one silicon precursor compound is at least one selected from the group consisting of 1 -dimethylamino-1 ,1 ,3,3,3- pentamethyldisiloxane, 1 -diethylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -di-iso- propylamino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -di-sec-butylamino-1 ,1 ,3,3,3- pentamethyldisiloxane, 1 -pyrrolyl-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -pyrrolidino- 1 ,1 ,3,3,3-pentamethyldisiloxane, 1 -piperidino-1 ,1 ,3,3,3-pentamethyldisiloxane, 1 - (2,6-dimethylpiperidino)-3,3,3-pentamethyldisiloxane, 1 -dimethylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -diethylamino-1 , 1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -di-iso- propylamino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -sec-butylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -cyclohexylmethylamino-1 ,1 ,3,3,5,5,5- heptamethyltrisiloxane, 1 -cyclohexylethylamino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -piperidino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -(2,6-dimethylpiperidino)- 1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -pyrrolyl-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane, 1 -pyrrolidino-1 ,1 ,3,3,5,5,5-heptamethyltrisiloxane.

21. A film obtained by the method of claim 19.

22. A film formed by Claim 19 comprising at least one of the following characteristics a density of at least about 2.1 g/cc; a wet etch rate that is less than about 2.5 A/s as measured in a solution of 1 :100 of HF to water (0.5 wt% dHF) acid; an electrical leakage of less than about 1 e-8 A/cm2 up to 6 MV/cm; and a hydrogen impurity of less than about 5 e20 at/cc as measured by SIMS.

Description:
TITLE OF THE INVENTION:

COMPOSITIONS AND METHODS FOR THE DEPOSITION OF SILICON OXIDE FILMS

CROSS REFERENCE TO RELATED APPLICATIONS

[0001] This application claims the benefit of priority under 35 U.S.C. § 119(e) to U.S. provisional patent application No. 62/396,410, filed on September 19, 2016, U.S. provisional patent application No. 62/408,167, filed on October 14, 2016, and U.S. provisional patent application No. 62/417,619, filed on November 4, 2016, the entireties of which are incorporated herein by reference.

BACKGROUND OF THE INVENTION

[0002] Described herein are compositions and methods for the formation of silicon and oxide containing films. More specifically, described herein are compositions and methods for formation of stoichiometric or non-stoichiometric silicon oxide films or material at one or more deposition temperatures of about 300 °C or less or, more specifically, ranging from about 25 °C to about 300 °C.

[0003] Atomic Layer Deposition (ALD) and Plasma Enhanced Atomic Layer Deposition (PEALD) are processes used to deposit conformal silicon oxide film at low temperatures (<500°C). In both ALD and PEALD processes, the precursors and reactive gases (such as oxygen or ozone) are separately pulsed in certain number of cycles to form a monolayer of silicon oxide at each cycle. However, silicon oxide deposited at low temperatures using these processes may contain levels of impurities such as, without limitation, nitrogen (N) which may be detrimental in certain semiconductor applications. To remedy this, one possible solution is to increase the deposition temperature to 500°C or greater. However, at these higher temperatures, conventional precursors employed by semi-conductor industries tend to self-react, thermally decompose, and deposit in a chemical vapor deposition (CVD) mode rather than an ALD mode. The CVD mode deposition has reduced conformality compared to ALD deposition, especially for high aspect ratio structures which are needed in many semiconductor applications. In addition, the CVD mode deposition has less control of film or material thickness than the ALD mode deposition.

[0004] The reference article entitled "Some New Alkylaminosilanes", Abel, E.W. et al., J. Chem. Soc, (1964), Vol. 26, pp. 1528-1530 describes the preparation of various

aminosilane compounds such as Me 3 SiNHBu-iso, Me 3 SiNHBu-sec, Me 3 SiN(Pr-iso) 2 , and Me 3 SiN(Bu-sec) 2 wherein Me = methyl, Bu-sec = sec-butyl, and Pr-iso = isopropyl from the direct interaction of trimethylchlorosilane (Me 3 SiCI) and the appropriate amine.

[0005] The reference article entitled "SiO 2 Atomic Layer Deposition Using

Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy", Burton, B. B., et al., The Journal of Physical Chemistry (2009), Vol. 113, pp. 8249-57 describes the atomic layer deposition (ALD) of silicon dioxide (SiO 2 ) using a variety of silicon precursors with H 2 O 2 as the oxidant. The silicon precursors were (N,N- dimethylamino)trimethylsilane) (CH 3 ) 3 SiN(CH 3 ) 2 , vinyltrimethoxysilane CH 2 CHSi(OCH 3 ) 3 , trivinylmethoxysilane (CH 2 CH) 3 SiOCH 3 , tetrakis(dimethylamino)silane Si(N(CH 3 ) 2 ) 4 , and tris(dimethylamino)silane (TDMAS) SiH(N(CH 3 ) 2 ) 3 . TDMAS was determined to be the most effective of these precursors. However, additional studies determined that SiH * surface species from TDMAS were difficult to remove using only H 2 0. Subsequent studies utilized TDMAS and H 2 O 2 as the oxidant and explored SiO 2 ALD in the temperature range of 150-550 °C. The exposures required for the TDMAS and H 2 O 2 surface reactions to reach completion and were monitored using in situ FTIR spectroscopy. The FTIR vibrational spectra following the TDMAS exposures showed a loss of absorbance for O-H stretching vibrations and a gain of absorbance for C-Hx and Si-H stretching vibrations. The FTIR vibrational spectra following the H 2 O 2 exposures displayed a loss of absorbance for C-Hx and Si-H stretching vibrations and an increase of absorbance for the O-H stretching vibrations. The SiH * surface species were completely removed only at

temperatures >450°C. The bulk vibrational modes of SiO 2 were observed between

1000-1250 cm -1 and grew progressively with number of TDMAS and H 2 O 2 reaction cycles. Transmission electron microscopy (TEM) was performed after 50 TDMAS and H 2 O 2 reaction cycles on ZrO 2 nanoparticles at temperatures between 150-550 °C. The film thickness determined by TEM at each temperature was used to obtain the SiO 2 ALD growth rate. The growth per cycle varied from 0.8 A/cycle at 150 °C to 1.8 A/cycle at 550 °C and was correlated with the removal of the SiH * surface species. SiO 2 ALD using TDMAS and H 2 O 2 should be valuable for SiO 2 ALD at temperatures >450 °C.

[0006] JP2010275602 and JP2010225663 disclose the use of a raw material to form a Si containing thin film such as, silicon oxide, by a chemical vapor deposition (CVD) process at a temperature range of from 300-500°C. The raw material is an organic silicon compound, represented by formula: (a) HSi(CH 3 )(R 1 )(NR 2 R 3 ), wherein, R 1 represents NR 4 R 5 or a 1 CISC alkyl group; R 2 and R 4 each represent a 1 C-5C alkyl group or hydrogen atom; and R 3 and R 5 each represent a 1 C-5C alkyl group); or (b) HSiCI(NR 1 R 2 )(NR 3 R 4 ), wherein R 1 and R 3 independently represent an alkyl group having 1 to 4 carbon atoms, or a hydrogen atom; and R 2 and R 4 independently represent an alkyl group having 1 to 4 carbon atoms. The organic silicon compounds contained H-Si bonds.

[0007] U.S. Pat. No. 5,424,095 describes a method to reduce the rate of coke formation during the industrial pyrolysis of hydrocarbons, the interior surface of a reactor is coated with a uniform layer of a ceramic material, the layer being deposited by thermal decomposition of a non-alkoxylated organosilicon precursor in the vapor phase, in a steam containing gas atmosphere in order to form oxide ceramics.

[0008] U. S. Publ. No. 2012/0291321 describes a PECVD process for forming a high- quality Si carbonitride barrier dielectric film between a dielectric film and a metal

interconnect of an integrated circuit substrate, comprising the steps of: providing an integrated circuit substrate having a dielectric film or a metal interconnect; contacting the substrate with a barrier dielectric film precursor comprising: R x R y (NRR') z Si wherein R, R', R and R' are each individually selected from H, linear or branched saturated or unsaturated alkyl, or aromatic group; wherein x+y+z = 4; z = 1 to 3; but R, R' cannot both be H; and where z = 1 or 2 then each of x and y are at least 1 ; forming the Si carbonitride barrier dielectric film with C/Si ratio>0.8 and a N/Si ratio >0.2 on the integrated circuit substrate.

[0009] U. S. Publ. No. 2013/0295779 A describes an atomic layer deposition (ALD) process for forming a silicon oxide film at a deposition temperature > 500°C using silicon precursors having the following formula: I. R 1 R 2 m Si(NR 3 R 4 ) n X p wherein R 1 , R 2 , and R 3 are each independently selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, and a C 6 to C 10 aryl group; R 4 is selected from, a linear or branched C 1 to C 10 alkyl group, and a C 6 to C 10 aryl group, a C 3 to C 10 alkylsilyl group; wherein R 3 and R 4 are linked to form a cyclic ring structure or R 3 and R 4 are not linked to form a cyclic ring structure; X is a halide selected from the group consisting of CI, Br and I; m is 0 to 3; n is 0 to 2; and p is 0 to 2 and m+n+p = 3; and

II. R 1 R 2 m Si(OR 3 ) n (OR 4 ) q Xp wherein R 1 and R 2 are each independently selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, and a C 6 to C 10 aryl group; R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkyl group, and a C 6 to C 10 aryl group;

wherein R 3 and R 4 are linked to form a cyclic ring structure or R 3 and R 4 are not linked to form a cyclic ring structure; X is a halide atom selected from the group consisting of CI, Br and I; m is 0 to 3; n is 0 to 2; q is 0 to 2 and p is 0 to 2 and m+n+q+p = 3

[0010] U.S. Pat. No. 7,084,076 discloses a halogenated siloxane such as

hexachlorodisiloxane (HCDSO) that is used in conjunction with pyridine as a catalyst for ALD deposition below 500°C to form silicon dioxide.

[0011] U.S. Pat. No. 6,992,019 discloses a method for catalyst-assisted atomic layer deposition (ALD) to form a silicon dioxide layer having superior properties on a

semiconductor substrate by using a first reactant component consisting of a silicon compound having at least two silicon atoms, or using a tertiary aliphatic amine as the catalyst component, or both in combination, together with related purging methods and sequencing. The precursor used is hexachlorodisilane. The deposition temperature is between 25 - 150°C.

[0012] The disclosures of the previously identified patents, patent applications and other publications are hereby incorporated by reference. [0013] The above-mentioned prior art, however, still suffers from certain drawbacks as there still remains a need to develop a process for forming a silicon oxide film having at least one or more of the following attributes: a density of about 2.1g/cc or greater, a growth rate of 1.0 A/cycle or greater during the deposition, low chemical impurity, and/or high conformality in a thermal atomic layer deposition, a plasma enhanced atomic layer deposition (ALD) process or a plasma enhanced ALD-like process using cheaper, reactive, and more stable silicon precursor compounds. In addition, there is a need to develop precursors that can provide tunable films for example, ranging from silicon oxide to carbon doped silicon oxide.

BRIEF SUMMARY OF THE INVENTION

[0014] Described herein is a process for the deposition of a stoichiometric or

nonstoichiometric silicon oxide material or film, such as without limitation, a silicon oxide, a carbon doped silicon oxide, a silicon oxynitride film, or a carbon doped silicon oxynitride film at relatively low temperatures, e.g., at one or more temperatures of 300 °C or lower or, in other embodiments, at relatively high temperatures, e.g., at one or more temperatures of 600 °C or higher., in a plasma enhanced ALD, plasma enhanced cyclic chemical vapor deposition (PECCVD), a plasma enhanced ALD-like process, or an ALD process with oxygen-containing reactant source.

[0015] In one aspect, there is provided a method to deposit a film comprising silicon and oxide onto a substrate which comprises the steps of:

a) providing a substrate in a reactor;

b) introducing into the reactor at least one silicon precursor compound, wherein the at least one silicon precursor compound has at least one Si-O-Si linkages is selected from the group consisting of Formulae A and B:

wherein R 1 is independently selected from a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group; R 2 is selected from the group consisting of hydrogen, a C 1 to C 10 linear alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 1 and R 2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure; R 3"8 are each independently selected from hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aryl group; X is selected from the group consisting of hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, a C 4 to C 10 aryl group, halide (CI, Br, I), and NR 9 R 10 where R 9 and R 10 are each independently selected from hydrogen, a C 1 to C 6 linear alkyl group, a branched C 3 to C 6 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 9 and R 10 are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure, and wherein R 1 and R 9 are either linked to form a cyclic ring or are not linked to form a cyclic ring;

c) purging the reactor with a purge gas;

d) introducing an oxygen-containing source into the reactor; and

e) purging the reactor with the purge gas,

wherein the steps b through e are repeated until a desired thickness of film is deposited; and wherein the method is conducted at one or more temperatures ranging from about 25°C to 600°C .

[0016] In this or other embodiments, the oxygen-containing source is a source selected from the group consisting of an oxygen plasma, ozone, a water vapor, water vapor plasma, nitrogen oxide (e.g., N 2 0, NO, NO 2 ) plasma with or without inert gas, a carbon oxide (e.g., CO 2 , CO) plasma and combinations thereof. In certain embodiments, the oxygen-containing source further comprises an inert gas. In these embodiments, the inert gas is selected from the group consisting of argon, helium, nitrogen, hydrogen, and combinations thereof. In an alternative embodiment, the oxygen-containing source does not comprise an inert gas. In yet another embodiment, the oxygen-containing source comprises nitrogen which reacts with the reagents under plasma conditions to provide a silicon oxynitride film.

[0017] In one or more embodiments described above, the oxygen-containing plasma source is selected from the group consisting of oxygen plasma with or without inert gas, water vapor plasma with or without inert gas, nitrogen oxides (N 2 0, NO, NO 2 ) plasma with or without inert gas, carbon oxides (CO 2 , CO) plasma with or without inert gas, and

combinations thereof. In certain embodiments, the oxygen-containing plasma source further comprises an inert gas. In these embodiments, the inert gas is selected from the group consisting of argon, helium, nitrogen, hydrogen, or combinations thereof. In an alternative embodiment, the oxygen-containing plasma source does not comprise an inert gas.

[0018] One embodiment of the invention relates to a composition for depositing a film selected from a silicon oxide or a carbon doped silicon oxide film using a vapor deposition process, the composition comprising: at least one one silicon precursor comprising a compound selected from the group consisting of Formulae A and B:

wherein R 1 is independently selected from a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group; R 2 is selected from the group consisting of hydrogen, a C 1 to C 10 linear alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 1 and R 2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure; R 3"8 are each independently selected from hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aryl group; X is selected from the group consisting of hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, a C 4 to C 10 aryl group, halide (CI, Br, I), and NR 9 R 10 where R 9 and R 10 are each independently selected from hydrogen, a C 1 to C 6 linear alkyl group, a branched C 3 to C 6 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 9 and R 10 are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure, and wherein R 1 and R 9 are either linked to form a cyclic ring or are not linked to form a cyclic ring.

[0019] Another embodiment of the invention relates to a silicon oxide film comprising at least one of the following characteristics a density of at least about 2.1 g/cc; a wet etch rate that is less than about 2.5 A/s as measured in a solution of 1 :100 of HF to water dilute HF ( 0.5 wt% dHF) acid; an electrical leakage of less than about 1 e-8 A/cm 2 up to 6 MV/cm; and a hydrogen impurity of less than about 5 e20 at/cc as measured by SIMS.

[0020] The embodiments of the invention can be used alone or in combinations with each other.

DETAILED DESCRIPTION OF THE INVENTION

[0021] Described herein are compositions and methods related to the formation of a stoichiometric or nonstoichiometric film or material comprising silicon and oxide such as, without limitation, a silicon oxide, a carbon-doped silicon oxide film, a silicon oxynitride, a carbon-doped silicon oxynitride films or combinations thereof with one or more

temperatures, of about 300 °C or less, or from about 25 °C to about 300 °C, or from about 250 °C to about 600 °C or from 600 to about 800 °C. The films described herein are deposited in a deposition process such as an atomic layer deposition (ALD) or in an ALD- like process such as, without limitation, a plasma enhanced ALD or a plasma enhanced cyclic chemical vapor deposition process (CCVD). The low temperature deposition (e.g., one or more deposition temperatures ranging from about ambient temperature to 300°C) methods described herein provide films or materials that exhibit at least one or more of the following advantages: a density of about 2.1 g/cc or greater, a growth rate of 1.0 A/cycle or greater, low chemical impurity, high conformality in a thermal atomic layer deposition, a plasma enhanced atomic layer deposition (ALD) process or a plasma enhanced ALD-like process, an ability to adjust carbon content in the resulting film; and/or films have an etching rate of 5 Angstroms per second (A/sec) or less when measured in 0.5 wt% dilute HF. For carbon-doped silicon oxide films, greater than 1% carbon is desired to tune the etch rate to values below 2 A/sec in 0.5 wt% dilute HF in addition to other characteristics such as, without limitation, a density of about 1.8 g/cc or greater or about 2.0 g/cc or greater.

[0022] The instant invention can be practiced using equipment known in the art. For example, the inventive method can use a reactor that is conventional in the semiconductor manufacturing art.

[0023] In one aspect, there is provided a composition comprising at least one silicon precursor compound having at least one Si-O-Si linkage and at least one organoamino functionality. Such silicon precursors compound has a structure that is represented by either Formulae A and/or B:

wherein R 1 is independently selected from a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group; R 2 is selected from the group consisting of hydrogen, a C 1 to C 10 linear alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 1 and R 2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure; R 3"8 are each independently selected from hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aryl group; X is selected from the group consisting of hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, a C 4 to C 10 aryl group, halide (CI, Br, I), and NR 9 R 10 where R 9 and R 10 are each independently selected from hydrogen, a C 1 to C 6 linear alkyl group, a branched C 3 to C 6 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 9 and R 10 are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure, and wherein R 1 and R 9 are either linked to form a cyclic ring or are not linked to form a cyclic ring;

[0024] In another aspect, there is provided a composition comprising: (a) at least one silicon precursor compound having at least one Si-O-Si linkage and at least one

organoamino functionality. Such silicon precursors compound has a structure that is represented by either Formulae A or B:

wherein R 1 is independently selected from a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C1 0 cyclic alkyl group, a C 3 to C1 0 heterocyclic group, a C 3 to C1 0 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group; R 2 is selected from the group consisting of hydrogen, a C 1 to C 10 linear alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 heterocyclic group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 1 and R 2 in Formula A or B are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure; R 3"8 are each independently selected from hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aryl group; X is selected from the group consisting of hydrogen, a linear C 1 to C 10 alkyl group, a branched C 3 to C 10 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 2 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, a C 4 to C 10 aryl group, halide (CI, Br, I), and NR 9 R 10 where R 9 and R 10 are each independently selected from hydrogen, a C 1 to C 6 linear alkyl group, a branched C 3 to C 6 alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, and a C 4 to C 10 aryl group, wherein R 9 and R 10 are either linked to form a cyclic ring structure or are not linked to form a cyclic ring structure, and wherein R 1 and R 9 are either linked to form a cyclic ring or are not linked to form a cyclic ring; and (b) a solvent. In certain embodiments of the composition described herein, exemplary solvents can include, without limitation, ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof. In certain embodiments, the difference between the boiling point of the silicon precursor and the boiling point of the solvent is 40 °C or less.

[0025] In one embodiment of the method described herein, the method is conducted via an ALD process that uses an oxygen-containing source which comprises ozone or a plasma wherein the plasma can further comprise an inert gas such as one or more of the following: an oxygen plasma with or without inert gas, a water vapor plasma with or without inert gas, a nitrogen oxide (e.g., N 2 0, NO, NO 2 ) plasma with or without inert gas, a carbon oxide (e.g., CO 2 , CO) plasma with or without inert gas, and combinations thereof. In this embodiment, the method for depositing a silicon oxide film on at least one surface of a substrate comprises the following steps:

a) providing a substrate in a reactor;

b) introducing into the reactor at least one silicon precursor selected from the group consisting of Formulae A and B described herein;

c) purging the reactor with purge gas;

d) introducing oxygen-containing source comprising a plasma into the reactor; and e) purging the reactor with a purge gas.

[0026] In the method described above, steps b through e are repeated until a desired thickness of film is deposited on the substrate. The oxygen-containing plasma source can be generated in situ or, alternatively, remotely. In one particular embodiment, the oxygen- containing source comprises oxygen and is flowing, or introduced during method steps b through d, along with other reagents such as without limitation, the at least one silicon precursor and optionally an inert gas.

[0027] In another aspect, there is provided a method for depositing a silicon-containing film, the method comprising:

placing a substrate comprising a surface feature into a reactor wherein the substrate is maintained at one or more temperatures ranging from about -20 °C to about 400 °C and a pressure of the reactor is maintained at 100 torr or less;

introducing at least one silicon precursor having Formulae A or B described herein; providing an oxygen-containing source into the reactor to react with the at least one compound to form a film and cover at least a portion of the surface feature;

annealing the film at one or more temperatures of about 100 °C to 1000 °C to coat at least a portion of the surface feature; and

treating the substrate with an oxygen-containing source at one or more

temperatures ranging from about 20 °C to about 1000 °C to form a silicon-containing film on at least a portion of the surface feature. In certain embodiments, the oxygen-containing source is selected from the group consisting of water vapors, water plasma, ozone, oxygen, oxygen plasma, oxygen/helium plasma, oxygen/argon plasma, nitrogen oxides plasma, carbon dioxide plasma, hydrogen peroxide, organic peroxides, and mixtures thereof. In this or other embodiments, the method steps are repeated until the surface features are filled with the silicon-containing film. In embodiments wherein water vapor is employed as an oxygen-containing source, the substrate temperature ranges from about -20 °C to about 40 °C or from about -10 °C to about 25 °C.

[0028] In another embodiment of the method described herein, the method is conducted via an ALD process that uses an oxygen-containing source which comprises ozone or a plasma wherein the plasma can further comprise an inert gas such as one or more of the following: an oxygen plasma with or without inert gas, a water vapor plasma with or without inert gas, a nitrogen oxide (e.g., N 2 0, NO, NO 2 ) plasma with or without inert gas, a carbon oxide (e.g., CO 2 , CO) plasma with or without inert gas, and combinations thereof. In this embodiment, the method for depositing a silicon oxide film on at least one surface of a substrate at temperatures below 300 °C, preferably below 150 °C comprises the following steps:

a) providing a substrate in a reactor;

b) introducing into the reactor at least one silicon precursor selected from the group consisting of Formulae A and B wherein R 3 and R 4 are both hydrogen; c) purging the reactor with purge gas;

d) introducing oxygen-containing source comprising a plasma into the reactor; and e) purging the reactor with a purge gas. In the method described above, steps b through e are repeated until a desired thickness of film is deposited on the substrate. The oxygen-containing plasma source can be generated in situ or, alternatively, remotely. In one particular embodiment, the oxygen-containing source comprises oxygen and is flowing, or introduced during method steps b through d, along with other reagents such as without limitation, the at least one silicon precursor and optionally an inert gas.

[0029] Yet, in another embodiment of the method described herein, the method is conducted via an ALD process that uses an oxygen-containing source which comprises ozone or a plasma wherein the plasma can further comprise an inert gas such as one or more of the following: an oxygen plasma with or without inert gas, a water vapor plasma with or without inert gas, a nitrogen oxide (e.g., N 2 0, NO, NO 2 ) plasma with or without inert gas, a carbon oxide (e.g., CO 2 , CO) plasma with or without inert gas, and combinations thereof. In this embodiment, the method for depositing a silicon oxide film on at least one surface of a substrate at temperatures above 600°C comprises the following steps:

a) providing a substrate in a reactor;

b) introducing into the reactor at least one silicon precursor selected from the group consisting of Formulae A and B wherein R 3"8 and X are all methyl groups;

c) purging the reactor with purge gas;

d) introducing oxygen-containing source comprising a plasma into the reactor; and e) purging the reactor with a purge gas.

[0030] In the method described above, steps b through e are repeated until a desired thickness of film is deposited on the substrate. The oxygen-containing plasma source can be generated in situ or, alternatively, remotely. In one particular embodiment, the oxygen- containing source comprises oxygen and is flowing, or introduced during method steps b through d, along with other reagents such as without limitation, the at least one silicon precursor and optionally an inert gas.

[0031] In one or more embodiments, the at least one silicon precursor comprises an organoaminodisiloxane compound having the Formula A described above. In one particular embodiment, R 3"6 in the formula comprise a hydrogen or C 1 alkyl group or methyl. Further exemplary precursors are listed in Table 1

Table 1. Organoaminodisiloxane Compounds Having one Si-O-Si linkage in Formula A. [0032] In one or more embodiments, the at least one silicon precursor comprises an organoaminotrisiloxane compound having the Formula B described herein. In one particular embodiment, R 3"8 in the formula comprise a hydrogen or C 1 alkyl group or methyl. Further exemplary precursors are listed in Table 2:

Table 2. Organoaminotrisiloxane Compounds Having Two Si-O-Si linkages in Formula B.

[0033] In the formulas above and throughout the description, the term "alkyl" denotes a linear or branched functional group having from 1 to 10 carbon atoms. Exemplary linear alkyl groups include, but are not limited to, methyl, ethyl, propyl, butyl, pentyl, and hexyl groups. Exemplary branched alkyl groups include, but are not limited to, iso-propyl, iso- butyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, iso-hexyl, and neo-hexyl. In certain embodiments, the alkyl group may have one or more functional groups attached thereto such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto. The alkyl group may be saturated or, alternatively, unsaturated.

[0034] In the formulas above and throughout the description, the term "cyclic alkyl" denotes a cyclic functional group having from 3 to 10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups. [0035] In the formulas above and throughout the description, the term "alkenyl group" denotes a group which has one or more carbon-carbon double bonds and has from 2 to 10 or from 2 to 10 or from 2 to 6 carbon atoms.

[0036] In the formulas above and throughout the description, the term "alkynyl group" denotes a group which has one or more carbon-carbon triple bonds and has from 3 to 10 or from 2 to 10 or from 2 to 6 carbon atoms.

[0037] In the formulas above and throughout the description, the term "aryl" denotes an aromatic cyclic functional group having from 4 to 10 carbon atoms, from 5 to 10 carbon atoms, or from 6 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, o-xylyl, 1 ,2,3-triazolyl, pyrrrolyl, and furanyl.

[0038] In the formulas above and throughout the description, the term "amino" denotes an organoamino group having from 1 to 10 carbon atoms derived from an organoamine with formula of HNR 1 R 2 . Exemplary amino groups include, but are not limited to, secondary amino groups derived from secondary amines such as dimethylamino (Me 2 N-), diethyamino (Et 2 N-), di-iso-propylamino ('Pr 2 N-); primary amino groups derived from primary amines such as methylamino (MeNH-), ethylamine (EtNH-), iso-propylamino ('PrNH-), sec-butylamino ( s BuNH-), and tert-butylamino ('BuNH-).

[0039] The inventive compounds having Formulae A or B can be produced, for example, by following one or more of the reactions shown in equations (1 ) to (10):

[0040] The reactions in Equations (1 ) to (12) can be conducted with (e.g., in the presence of) or without (e.g., in the absence of) organic solvents. In embodiments wherein an organic solvent is used, examples of suitable organic solvents include, but are not limited to, hydrocarbon such as hexanes, octane, toluene, and ethers such as diethyl ether and tetrahydrofuran (THF). In these or other embodiments, the reaction temperature is in the range of from about -70 °C to the boiling point of the solvent employed if a solvent is used or to the boiling point of the most volatile component in the reaction. In other embodiments, the reaction temperature can be higher than the normal boiling point of the most volatile component if a high pressure reactor is used. The resulting silicon precursor compound can be purified, for example, via vacuum distillation after removing all by-products as well as any solvent(s) if present.

[0041] Equations (1 ) and (2) are one synthetic route to make the silicon precursor compounds having Formulae A or B involving a reaction between halidodisiloxanes or halidotrisiloxanes and an organoamine. Equations (3) and (4) are one synthetic route to make the silicon precursor compounds having Formulae A or B involving a dehydrocoupling reaction between either hydridodisiloxane or hydridotrisiloxane and an organoamine in presence of catalyst. Alternatively, in equations (1) to (4), these reactions could be carried out with a metal amide such as, without limitation, lithium amide (LiNR 1 R 2 ), sodium amide (NaNR 1 R 2 ), or potassium amide (KNR 1 R 2 ) instead of free organoamine (HNR 1 R 2 ) in the presence or absence of a catalyst. The hydridodisiloxane or hydridotrisiloxane starting materials in equations (3) and (4) can be synthesized, for example, by the synthetic routes shown in equations (5) to (8) involving the reaction of a either a silanol, a disiloxanol, or a metallated form of these two (e.g. potassium trimethylsilanolate, potassium

pentamethyldisiloxanolate) with either an organoaminosilane or a halidosilane containing at least one Si-H bond. Equations (9) and (10) are another synthetic route to make the silicon precursor compounds having Formulae A or B involving the reaction of either a silanol, a disiloxanol, or a metallated form of these two with an organoaminohalidosilane. Equations (1 1 ) and (12) are yet another synthetic route to make the silicon precursor compounds having Formulae A or B involving the reaction of either a silanol, a disiloxanol, or a metallated form of these two with a bis(organoamino)silane. Other synthetic routes may be also employed to make these silicon precursor compounds having Formula A or B as disclosed in the prior art, for example reducing organoaminochlorodisiloxane or

organoaminochlorotrisiloxane with metal hydride such as LiH, LiAIH 4 or reacting hydridodisiloxane or hydridotrisiloxane with imine in the presence or absence of catalyst (hydrosilylation of imine).

[0042] The silicon precursor compounds having Formulae A or B according to the present invention and compositions comprising the silicon precursor compounds having Formulae A or B according to the present invention are preferably substantially free of halide ions. As used herein, the term "substantially free" as it relates to halide ions (or halides) such as, for example, chlorides, fluorides, bromides, and iodides, means less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and most preferably 0 ppm. Chlorides are known to act as decomposition catalysts for the silicon precursor compounds having Formulae A or B. Significant levels of chloride in the final product can cause the silicon precursor compounds to degrade. The gradual degradation of the silicon precursor compounds may directly impact the film deposition process making it difficult for the semiconductor manufacturer to meet film specifications. The silicon precursor compounds having Formulae A or B are preferably substantially free of metal ions such as , Al 3+ ions, Fe 2+ , Fe 3+ , Ni 2+ , Cr 3* . As used herein, the term "substantially free" as it relates to Al 3+ ions, Fe 2+ , Fe 3+ , Ni 2+ , Cr 3* means less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and most preferably 0.1 ppm. In some embodiments, the silicon precursor compounds having Formulae A or B are free of metal ions such as , Al 3+ ions, Fe 2+ , Fe 3+ , Ni 2+ , Cr 3* . As used herein, the term "free of" as it relates to Al 3+ ions, Fe 2+ , Fe 3+ , Ni 2+ , Cr 3* means 0 ppm (by weight), In addition, the shelf-life or stability is negatively impacted by the higher degradation rate of the silicon precursor compounds thereby making it difficult to guarantee a 1 -2 year shelf-life. Moreover, the silicon precursor compounds are known to form flammable and/or pyrophoric gases upon decomposition such as hydrogen and disiloxanes or trisiloxanes. Therefore, the accelerated decomposition of the silicon precursor compounds presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous

byproducts.

[0043] For those embodiments wherein the silicon precursor(s) having Formulae A or B is (are) used in a composition comprising a solvent and a silicon precursor compounds having Formulae A or B described herein, the solvent or mixture thereof selected does not react with the silicon precursor. The amount of solvent by weight percentage in the composition ranges from 0.5 wt% by weight to 99.5 wt% or from 10 wt% by weight to 75 wt%. In this or other embodiments, the solvent has a boiling point (b.p.) similar to the b.p. of the silicon precursor of Formula A or B or the difference between the b.p. of the solvent and the b.p. of the silicon precuror of Formula A or B is 40 °C or less, 30 °C or less, or 20 °C or less, or 10 °C. Alternatively, the difference between the boiling points ranges from any one or more of the following end-points: 0, 10, 20, 30, or 40 °C. Examples of suitable ranges of b.p.

difference include without limitation, 0 to 40 °C, 20 °C to 30 °C, or 10 °C to 30 °C. Examples of suitable solvents in the compositions include, but are not limited to, an ether (such as 1 ,4- dioxane, dibutyl ether), a tertiary amine (such as pyridine, 1 -methylpiperidine, 1 - ethylpiperidine, Ν,Ν'-Dimethylpiperazine, Ν,Ν,Ν',Ν'-Tetramethylethylenediamine), a nitrile (such as benzonitrile), an alkyl hydrocarbon (such as octane, nonane, dodecane, ethylcyclohexane), an aromatic hydrocarbon (such as toluene, mesitylene), a tertiary aminoether (such as bis(2-dimethylaminoethyl) ether), or mixtures thereof.

[0044] Throughout the description, the term "ALD or ALD-like" refers to a process including, but not limited to, the following processes: a) each reactant including a silicon precursor and a reactive gas is introduced sequentially into a reactor such as a single wafer ALD reactor, semi-batch ALD reactor, or batch furnace ALD reactor; b) each reactant including the silicon precursor and the reactive gas is exposed to a substrate by moving or rotating the substrate to different sections of the reactor and each section is separated by inert gas curtain, i.e., spatial ALD reactor or roll to roll ALD reactor.

[0045] Throughout the description, the term "alkyl hydrocarbon" refers a linear or branched C 1 to C 2 o hydrocarbon, cyclic C 6 to C 2 o hydrocarbon. Exemplary hydrocarbon includes, but not limited to, heptane, octane, nonane, decane, dodecane, cyclooctane, cyclononane, cyclodecane.Throughout the description, the term "aromatic hydrocarbon" refers a C 6 to C 2 o aromatic hydrocarbon. Exemplary aromatic hydrocarbon includes, but not limited to, toluene, mesitylene.

[0046] In certain embodiments, substituents R 1 and R 2 in the Formulas A and B can be linked together to form a ring structure. As the skilled person will understand, where R 1 and R 2 are linked together to form a ring and R 1 would include a bond for linking to R 2 and vice versa. In these embodiments, the ring structure can be unsaturated such as, for example, a cyclic alkyl ring, or saturated, for example, an aryl ring. Further, in these embodiments, the ring structure can also be substituted or unsubstituted with one or more atoms or groups. Exemplary cyclic ring groups include, but not limited to, pyrrolyl, pyrrolidino, piperidino, and 2, 6-dimethylpiperidino groups. In other embodiments, however, substituent R 1 and R 2 are not linked to form a ring structure.

[0047] In certain embodiments, the silicon oxide or carbon doped silicon oxide films deposited using the methods described herein are formed in the presence of oxygen- containing source comprising ozone, water (H 2 0) (e.g., deionized water, purifier water, and/or distilled water), oxygen (O 2 ), oxygen plasma, NO, N 2 0, NO 2 , carbon monoxide (CO), carbon dioxide (CO 2 ) and combinations thereof. The oxygen-containing source is passed through, for example, either an in situ or remote plasma generator to provide oxygen- containing plasma source comprising oxygen such as an oxygen plasma, a plasma comprising oxygen and argon, a plasma comprising oxygen and helium, an ozone plasma, a water plasma, a nitrous oxide plasma, or a carbon dioxide plasma. In certain embodiments, the oxygen-containing plasma source comprises an oxygen-containing source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (seem) or from about 1 to about 1000 seem. The oxygen-containing plasma source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In one particular embodiment, the oxygen-containing plasma source comprises water having a temperature of 10°C or greater. In embodiments wherein the film is deposited by a PEALD or a plasma enhanced cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds (e.g., about 0.01 to about 0.1 seconds, about 0.1 to about 0.5 seconds, about 0.5 to about 10 seconds, about 0.5 to about 20 seconds, about 1 to about 100 seconds) depending on the ALD reactor's volume, and the oxygen-containing plasma source can have a pulse duration that is less than 0.01 seconds (e.g., about 0.001 to about 0.01 seconds).

[0048] The deposition methods disclosed herein may involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N 2 ), helium (He), neon, hydrogen (H 2 ), and mixtures thereof. In certain embodiments, a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 seem for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.

[0049] The respective step of supplying the precursors, oxygen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting dielectric film.

[0050] Energy is applied to the at least one of the silicon precursor, oxygen-containing source, or combination thereof to induce reaction and to form the dielectric film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof. In certain embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein the deposition involves plasma, the plasma- generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively, a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.

[0051] The at least one silicon precursor may be delivered to the reaction chamber such as a plasma enhanced cyclic CVD or PEALD reactor or a batch furnace type reactor in a variety of ways. In one embodiment, a liquid delivery system may be utilized. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.

[0052] For those embodiments wherein the at least one silicon precursor described herein is used in a composition comprising a solvent and an at least one silicon precursor described herein, the solvent or mixture thereof selected does not react with the silicon precursor. The amount of solvent by weight percentage in the composition ranges from 0.5 wt% by weight to 99.5 wt% or from 10 wt% by weight to 75 wt%. In this or other

embodiments, the solvent has a boiling point (b.p.) similar to the b.p. of the at least one silicon precursor or the difference between the b.p. of the solvent and the b.p. of the t least one silicon precursor is 40 °C or less, 30 °C or less, or 20 °C or less, or 10 ° C or less.

Alternatively, the difference between the boiling points ranges from any one or more of the following end-points: 0, 10, 20, 30, or 40 °C. Examples of suitable ranges of b.p. difference include without limitation, 0 to 40 °C, 20 °C to 30 °C, or 10 °C to 30 °C. Examples of suitable solvents in the compositions include, but are not limited to, an ether (such as 1 ,4- dioxane, dibutyl ether), a tertiary amine (such as pyridine, 1 -methylpiperidine, 1 - ethylpiperidine, Ν,Ν'-Dimethylpiperazine, Ν,Ν,Ν',Ν'-Tetramethylethylenediamine), a nitrile (such as benzonitrile), an alkane (such as octane, nonane, dodecane, ethylcyclohexane), an aromatic hydrocarbon (such as toluene, mesitylene), a tertiary aminoether (such as bis(2- dimethylaminoethyl) ether), or mixtures thereof.

[0053] As previously mentioned, the purity level of the at least one silicon precursor is sufficiently high enough to be acceptable for reliable semiconductor manufacturing. In certain embodiments, the at least one silicon precursor described herein comprise less than 2% by weight, or less than 1% by weight, or less than 0.5%, or less than 0.1 %, or less than 0.01 % (1 OOppm), or 0.001 % (1 Oppm), or 0.0001 (1 ppm)% by weight of one or more of the following impurities: free amines, free halides or halogen ions such as chloride (CI), bromide (Br), and higher molecular weight species. The impurity level of halides (CI or Br) in the silicon precursor should be less than 10Oppm, 50ppm, 20 ppm, 10ppm, 5 ppm, or 1ppm. Higher purity levels of the silicon precursor described herein can be obtained through one or more of the following processes: purification, adsorption, and/or distillation.

[0054] In one embodiment of the method described herein, a plasma enhanced cyclic deposition process such as PEALD-like or PEALD may be used wherein the deposition is conducted using the at least one silicon precursor and an oxygen-containing source. The PEALD-like process is defined as a plasma enhanced cyclic CVD process but still provides high conformal silicon oxide films.

[0055] In certain embodiments, the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the at least one silicon precursor is kept at one or more temperatures for bubbling. In other embodiments, a solution comprising the at least one silicon precursor is injected into a vaporizer kept at one or more temperatures for direct liquid injection.

[0056] A flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the at least one silicon precursor to the reaction chamber during the precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 50mTorr to 10 Torr. In other embodiments, the reaction chamber process pressure can be up to 760 Torr (e.g., about 50 mtorr to about 100 Torr).

[0057] In a typical PEALD or a PEALD-like process such as a PECCVD process, the substrate such as a silicon oxide substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon precursor initially to allow the complex to chemically adsorb onto the surface of the substrate.

[0058] A purge gas such as argon purges away unabsorbed excess complex from the process chamber. After sufficient purging, an oxygen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repeated to achieve the desired film thickness. In some cases, pumping can replace a purge with inert gas or both can be employed to remove unreacted silicon precursors.

[0059] In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially, may be performed concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and the oxygen- containing source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting dielectric film. Also, purge times after precursor or oxidant steps can be minimized to < 0.1 s so that throughput is improved.

[0060] One particular embodiment of the method described herein to deposit a high quality silicon oxide film on a substrate at temperatures less than 300 °C comprises the following steps: a. providing a substrate in a reactor;

b. introducing into the reactor at least one silicon precursor selected from the group consisting of Formulae A and B wherein the silicon precursor having one SiH 2 group connected to an organoamino functionality described herein; c. purging reactor with purge gas to remove at least a portion of the unabsorbed precursors;

d. introducing an oxygen-containing plasma source into the reactor and

e. purging reactor with purge gas to remove at least a portion of the unreacted oxygen-containing source,

wherein steps b through e are repeated until a desired thickness of the silicon oxide film is deposited. It is believed that the silicon precursor having one SiH 2 group is anchored onto a surface having hydroxyl group via releasing organoamine and the small SiH 2 groups allow more silicon fragments to be anchored compared the silicon precursor having SiHMe or SiMe 2 connected to an organoamino functionality, thus achieving growth rates higher than 1.5 A/cycle.

[0061] Another particular embodiment of the method described herein to deposit a high quality silicon oxide film on a substrate at temperatures greater than 600 °C comprises the following steps:

a. providing a substrate in a reactor;

b. introducing into the reactor at least one silicon precursor selected from the group consisting of Formulae A and B wherein R 3"8 and X are all methyl described herein;

c. purging reactor with purge gas to remove at least a portion of the unabsorbed precursors;

d. introducing an oxygen-containing plasma source into the reactor and

e. purging reactor with purge gas to remove at least a portion of the unreacted oxygen-containing source, wherein steps b through e are repeated until a desired thickness of the silicon oxide film is deposited. It is believed that Si-methyl groups are stable at temperatures higher than 600 °C, thus preventing any chemical vapor deposition due to thermal decomposition of the silicon precursors such as those having Si-H groups and allowing high temperature deposition of high quality silicon oxide possible.

[0062] Yet another method disclosed herein forms a carbon doped silicon oxide films using an organoaminodisiloxane compound or an organoaminotrisiloxane compound and an oxygen-containing source.

[0063] A still further exemplary process is described as follows:

a. Providing a substrate in a reactor

b. Contacting vapors generated from an organoaminodisiloxane compound or an organoaminotrisiloxane compound selected from the group consisting of Formulae A and B described herein with or without co-flowing an oxygen- containing source to chemically sorb the precursors on the heated substrate; c. Purging away any unabsorbed precursors;

d. Introducing an oxygen-containing source on the heated substrate to react with the sorbed precursors; and,

e. Purging away any unreacted oxygen-containing source,

wherein steps b through e are repeated until a desired thickness is achieved.

[0064] Various commercial ALD reactors such as single wafer, semi-batch, batch furnace or roll to roll reactor can be employed for depositing the solid silicon oxide or carbon doped silicon oxide.

[0065] In one embodiment, process temperature for the method described herein use one or more of the following temperatures as endpoints: 0, 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, and 300 °C. Exemplary temperature ranges include, but are not limited to the following: from about 0 °C to about 300 °C; or from about 25 °C to about 300 °C; or from about 50 °C to about 290 °C; or from about 25 °C to about 250 °C, or from about 25 °C to about 200 °C. In other embodiment, the process temperature for the method described herein use one or more of the following temperatures as endpoints: 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, and 600 °C. Yet, in other embodiment, the process temperature for the method described herein use one or more of the following temperatures as endpoints: 600, 625, 650, 675, 700, 725, 750, 775, and 800 °C. Depending on the structures of the silicon precursors, some are suitable for deposition at temperatures less than 600 °C while others may be more suitable for temperatures higher than 600 °C. For example, the silicon precursors having Formulae A or B wherein R 3 and R 4 are both hydrogen are suitable for deposition of high quality silicon oxide at temperatures less than 600 °C, on the other hand, the silicon precursor having Formulae A or B wherein R 3"8 and X are all methyl groups can be used for deposition of high quality silicon oxide at temperatures ranging from room temperature to 800 °C, especially for temperatures higher than 600 °C because Si-Me groups are more resistance to oxidation than Si-H groups. It is believed that Si-methyl groups are stable at temperatures higher than 600 °C, thus preventing any chemical vapor deposition due to thermal decomposition of the silicon precursors such as those having Si-H groups and allowing high temperature deposition of high quality silicon oxide possible.

[0066] In a still further embodiment of the method described herein, the film or the as- deposited film is subjected to a treatment step. The treatment step can be conducted during at least a portion of the deposition step, after the deposition step, and combinations thereof. Exemplary treatment steps include, without limitation, treatment via high temperature thermal annealing; plasma treatment; ultraviolet (UV) light treatment; laser; electron beam treatment and combinations thereof to affect one or more properties of the film. The films deposited with the silicon precursors having Formulae A or B described herein, when compared to films deposited with previously disclosed silicon precursors under the same conditions, have improved properties such as, without limitation, a wet etch rate that is lower than the wet etch rate of the film before the treatment step or a density that is higher than the density prior to the treatment step. In one particular embodiment, during the deposition process, as-deposited films are intermittently treated. These intermittent or mid-deposition treatments can be performed, for example, after each ALD cycle, after every a certain number of ALD, such as, without limitation, one (1) ALD cycle, two (2) ALD cycles, five (5) ALD cycles, or after every ten (10) or more ALD cycles. [0067] In an embodiment wherein the film is treated with a high temperature annealing step, the annealing temperature is at least 100 °C or greater than the deposition

temperature. In this or other embodiments, the annealing temperature ranges from about 400 °C to about 1000 °C. In this or other embodiments, the annealing treatment can be conducted in a vacuum (< 760 Torr), inert environment or in oxygen containing environment (such as H 2 0, N 2 0, NO 2 or O 2 ).

[0068] In an embodiment wherein the film is treated to UV treatment, film is exposed to broad band UV or, alternatively, an UV source having a wavelength ranging from about 150 nanometers (nm) to about 400 nm. In one particular embodiment, the as-deposited film is exposed to UV in a different chamber than the deposition chamber after a desired film thickness is reached.

[00S9] In an embodiment where in the film is treated with a plasma, passivation layer such as SiO 2 or carbon doped SiO 2 is deposited to prevent chlorine and nitrogen contamination to penetrate into film in the subsequent plasma treatment. The passivation layer can be deposited using atomic layer deposition or cyclic chemical vapor deposition.

[0070] In an embodiment wherein the film is treated with a plasma, the plasma source is selected from the group consisting of hydrogen plasma, plasma comprising hydrogen and helium, plasma comprising hydrogen and argon. Hydrogen plasma lowers film dielectric constant and boost the damage resistance to following plasma ashing process while still keeping the carbon content in the bulk almost unchanged.

[0071] It is believed that the silicon precursors having Formulae A or B can be anchored on substrate surface to provide Si-O-Si or Si-O-Si-O-Si fragments, thus boosting the growth rate of silicon oxide or carbon doped silicon oxide compared to conventional silicon precursors such as bis(tert-butylamino)silane or bis(diethylamino)silane having only one silicon atom. Importantly the as deposited Si-O-Si or Si-O-Si-O-Si fragments in a given pulse of the silicon precursors can provide better protection to the substrate, potentially avoiding or reducing substrate oxidation in the subsequent pulse of the oxygen-containing source during ALD process because conventional silicon precursors such as bis(tert- butylamino)silane or bis(diethylamino)silane can only provide a single layer of silicon fragments. [0072] In certain embodiments, the silicon precursors having Formula A or B described herein can also be used as a dopant for metal containing films, such as but not limited to, metal oxide films or metal nitride films. In these embodiments, the metal containing film is deposited using an ALD or CVD process such as those processes described herein using metal alkoxide, metal amide, or volatile organometallic precursors. Examples of suitable metal alkoxide precursors that may be used with the method disclosed herein include, but are not limited to, group 3 to 6 metal alkoxide, group 3 to 6 metal complexes having both alkoxy and alkyl substituted cyclopentadienyl ligands, group 3 to 6 metal complexes having both alkoxy and alkyl substituted pyrrolyl ligands, group 3 to 6 metal complexes having both alkoxy and diketonate ligands; group 3 to 6 metal complexes having both alkoxy and ketoester ligands; Examples of suitable metal amide precursors that may be used with the method disclosed herein include, but are not limited to, tetrakis(dimethylamino)zirconium (TDMAZ), tetrakis(diethylamino)zirconium (TDEAZ), tetrakis(ethylmethylamino)zirconium (TEMAZ), tetrakis(dimethylamino)hafnium (TDMAH), tetrakis(diethylamino)hafnium

(TDEAH), and tetrakis(ethylmethylamino)hafnium (TEMAH), tetrakis(dimethylamino)titanium (TDMAT), tetrakis(diethylamino)titanium (TDEAT), tetrakis(ethylmethylamino)titanium (TEMAT), tert-butylimino tri(diethylamino)tantalum (TBTDET), tert-butylimino

tri(dimethylamino)tantalum (TBTDMT), tert-butylimino tri(ethylmethylamino)tantalum

(TBTEMT), ethylimino tri(diethylamino)tantalum (EITDET), ethylimino

tri(dimethylamino)tantalum (EITDMT), ethylimino tri(ethylmethylamino)tantalum (EITEMT), tert-amylimino tri(dimethylamino)tantalum (TAIMAT), tert-amylimino

tri(diethylamino)tantalum, pentakis(dimethylamino)tantalum, tert-amylimino

tri(ethylmethylamino)tantalum, bis(tert-butylimino)bis(dimethylamino)tungsten (BTBMW), bis(tert-butylimino)bis(diethylamino)tungsten, bis(tert- butylimino)bis(ethylmethylamino)tungsten, and combinations thereof. Examples of suitable organometallic precursors that may be used with the method disclosed herein include, but are not limited to, group 3 metal cyclopentadienyls or alkyl cyclopentadienyls. Exemplary Group 3 to 6 metals herein include, but not limited to, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, and W.

In certain embodiments, the resultant silicon-containing films or coatings can be to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.

[0074] In certain embodiments, the silicon-containing films described herein have a dielectric constant of 6 or less, 5 or less, 4 or less, and 3 or less. In these or other embodiments, the films can a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below. However, it is envisioned that films having other dielectric constants (e.g., higher or lower) can be formed depending upon the desired end-use of the film. An example of silicon-containing film that is formed using the silicon precursors having Formula A or B precursors and processes described herein has the formulation Si x O y CzN v H w wherein Si ranges from about 10% to about 40%; O ranges from about 0% to about 65%; C ranges from about 0% to about 75% or from about 0% to about 50%; N ranges from about 0% to about 75% or from about 0% to 50%; and H ranges from about 0% to about 50% atomic percent weight % wherein x+y+z+v+w = 100 atomic weight percent, as determined for example, by XPS or other means. Another example of the silicon containing film that is formed using the organoaminodisiloxane or organoaminotrisiloxane precursors having Formulae A or B and processes described herein is silicon carbonitride wherein the carbon content is from 1 at% to 80 at% measured by XPS. In yet, another example of the silicon containing film that is formed using the organoaminodisiloxanes or organoaminotrisiloxanes precursors having Formulae A or B and processes described herein is amorphous silicon wherein both sum of nitrogen and carbon contents is <10 at%, preferably <5 at%, most preferably <1 at% measured by XPS.

[0075] As mentioned previously, the method described herein may be used to deposit a silicon-containing film on at least a portion of a substrate. Examples of suitable substrates include but are not limited to, silicon, SiO 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride,

hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, germanium, germanium-containing, boron-containing, Ga/As, a flexible substrate, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN. The films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes. [0076] The deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), light emitting diodes (LED), organic light emitting diodes (OLED), IGZO, and liquid crystal displays (LCD). Potential use of resulting solid silicon oxide or carbon doped silicon oxide include, but not limited to, shallow trench insulation, inter layer dielectric, passivation layer, an etch stop layer, part of a dual spacer, and sacrificial layer for patterning.

[0077] The methods described herein provide a high quality silicon oxide or carbon-doped silicon oxide film. The term "high quality" means a film that exhibits one or more of the following characteristics: a density of about 2.1 g/cc or greater, 2.2 g/cc or greater, 2.25 g/cc or greater; a wet etch rate that is 2.5 A/s or less, 2.0 A/s or less, 1.5 A/s or less, 1.0 A/s or less, 0.5 A/s or less, 0.1 A/s or less, 0.05 A/s or less, 0.01 A/s or less as measured in a solution of 1 :100 of HF to water dilute HF ( 0.5 wt% dHF) acid, ; an electrical leakage of about 1 or less e-8 A/cm 2 up to 6 MV/cm); a hydrogen impurity of about 5 e20 at/cc or less as measured by SIMS; and combinations thereof. With regard to the etch rate, a thermally grown silicon oxide film has 0.5 A/s etch rate in 0.5 wt% Hf.

[0078] In certain embodiments, one or more silicon precursors having Formulae A or B described herein can be used to form silicon oxide films that are solid and are non-porous or are substantially free of pores.

[0079] The following examples illustrate the method for depositing silicon oxide films described herein and are not intended to limit it in any way.

EXAMPLES

[0080] Thermal atomic layer deposition of silicon oxide films were performed on a laboratory scale ALD processing tool. The silicon precursor was delivered to the chamber by vapor draw. All gases (e.g., purge and reactant gas or precursor and oxygen-containing source) were preheated to 100°C prior to entering the deposition zone. Gases and precursor flow rates were controlled with ALD diaphragm valves with high speed actuation. The substrates used in the deposition were 12 inch long silicon strips. A thermocouple attached on the sample holder to confirm substrate temperature. Depositions were performed using ozone as oxygen-containing source gas. Normal deposition process and parameters are shown in Table 4. Thickness and refractive indices of the films were measured using a FilmTek 2000SE ellipsometer by fitting the reflection data from the film to a pre-set physical model (e.g., the Lorentz Oscillator model).

[0081] All plasma enhanced ALD (PEALD) was performed on a commercial style lateral flow reactor (300 mm PEALD tool manufactured by ASM) equipped with 27.1 MHz direct plasma capability with 3.5 mm fixed spacing between electrodes. The design utilizes outer and inner chambers which have independent pressure settings. The inner chamber is the deposition reactor in which all reactant gases (e.g. precursor, Ar) are mixed in the manifold and delivered to the process reactor. Ar gas is used to maintain reactor pressure in the outer chamber. All precursors were liquids maintained at room temperature in stainless steel bubblers and delivered to the chamber with Ar carrier gas, typically set at 200 seem flow. All depositions reported in this study were done on native oxide containing Si substrates of 8-12 Ohm-cm. A Rudolph FOCUS Ellipsometer FE-IVD (Rotating Compensator Ellipsometer) was used to measure film thickness and refractive index (Rl).

Example 1 : Synthesis of 1 -di-iso-propylamino-3,3,3-trimethyldisiloxane.

[0082] A solution of potassium trimethylsilanolate in diethyl ether and THF was added dropwise to a stirred solution of 1 equivalent di-iso-propylaminochlorosilane in THF. After 20 minutes, the solid precipitate was removed by filtration and the filtrate was concentrated under reduced pressure. The resulting liquid contained 1 -di-iso-propylamino-3,3,3- trimethyldisiloxane among other products as determined by GC-MS. GC-MS showed the following mass peaks: 219 (M+), 204 (M-15), 188, 174, 162, 146, 132, 119, 105, 89, 73, 59.

Example 2: Synthesis of 1 ,3-bis(dimethylamino)-1 ,1 ,3,3-tetramethyldisiloxane

[0083] A solution of trimethylamine and dimethylamine in THF and hexanes was chilled to below 0 °C. 1 ,3-dichlorotetramethyldisiloxane was slowly added dropwise to the this solution while stirring. The solids were removed by filtration and the filtrate was purified by vacuum distillation to provide 1 ,3-bis(dimethylamino)-1 ,1 ,3,3-tetramethyldisiloxane (56 °C/5 Torr). GC-MS showed the following mass peaks: 220 (M+), 205 (M-15), 196, 175, 162, 146, 133, 1 19, 102

Examples 3-10: Synthesis of additional organoaminodisiloxanes, or

organoaminotrisiloxanes.

[0084] Additional organoaminodisiloxanes, or organoaminotrisiloxanes were synthesized via similar fashion as described in Examples 1 and 2 and were characterized by GC-MS. The molecular weight (MW), the structure, and corresponding major MS fragmentation peaks of each compound are provided in Table 3 to confirm their identification.

Table 3. Organoaminodisiloxanes and Organoaminotrisiloxanes

Comparative Example 1 1a: Thermal Atomic Layer Deposition of Silicon Oxide Films with Dimethylaminotrimethylsilane (DMATMS).

[0085] Atomic layer deposition of silicon oxide films were conducted using the following precursor: DMATMS. The depositions were performed on the laboratory scale ALD processing tool. The silicon precursor was delivered to the chamber by vapor draw.

Deposition process and parameters are provided in Table 4. Steps 1 to 6 are repeated until a desired thickness is reached. At 500 °C, with the DMATMS precursor dose time of 8 seconds and ozone flow for 4 seconds, the film growth rate per cycle measured was 1.24 A/cycle and film refractive index was 1.43.

Example 11 : Atomic Layer Deposition of Silicon Oxide Films with 1 ,3-Bis(Dimethylamino)- 1 ,1 ,3,3-Tetramethyldisiloxane.

[0086] Atomic layer deposition of silicon oxide films were conducted using the following precursors: 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3-Tetramethyldisiloxane. The depositions were performed on the laboratory scale ALD processing tool. The silicon precursor was delivered to the chamber by vapor draw. Deposition process and parameters are provided in Table 4. Steps 1 to 6 are repeated until a desired thickness is reached.

Table 4: Process for Atomic Layer Deposition of Silicon Oxide Films with Oxygen Source Using DMATMS.

[0087] The process parameters of the depositions, the deposition rate and refractive index are provided in Table 5.

Table 5: Summary of Process Parameters and Results for 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3- Tetramethyldisiloxane

[0088] It can be seen that precursor, 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3-tetramethyldisiloxane with Si-O-Si linkage, provides higher growth rate per cycle compare to precursor DMATMS without the Si-O-Si linkage.

[0089] The film deposited at 650 S C and 700 S C composition were analyzed by SIMS. Film WER is done in 1 :99 diluted HF solution and thermal oxide wafers were used as reference. The SIMS analysis data and relative WER is shown in Table 6. The film shows low C, H, N impurities and low WER, indicating high quality films are obtained.

Table 6: SIMS analysis and relative WER to thermal oxide for 1 ,3-bis(dimethylamino)- 1 ,1 ,3,3-tetramethyldisiloxane deposited at 650 S C and 700 S C.

Comparative Example 10a: PEALD Silicon Oxide Using dimethylaminotrimethylsilane (DMATMS).

[0090] Depositions were done with DMATMS as Si precursor and O 2 plasma under conditions given in Table 7. DMATMS as Si precursor was delivered by vapor draw at ambient temperature (25 °C). The vessel is equipped with orifice with diameter of 0.005" to limit precursor flow.

Table 7: PEALD Parameters for Silicon Oxide Using DMATMS

[0091] Steps b to e were repeated 500 times to get a desired thickness of silicon oxide for metrology. With the Si precursor pulse of 4 seconds, film growth rate measured to be around 0.8 A/cycle for different precursor pulse time.

Example 12: PEALD Silicon Oxide Using 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3- Tetramethyldisiloxane

[0092] Depositions were done with 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3-Tetramethyldisiloxane as Si precursor and O 2 plasma under conditions given in Table 8. 1 ,3-Bis(Dimethylamino)- 1 ,1 ,3,3-Tetramethyldisiloxane as Si precursor was delivered by carrier gas at ambient temperature (25 °C).

Table 8: PEALD Parameters for Silicon Oxide Using 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3- Tetramethyldisiloxane

[0093] Steps b to e were repeated 200 times to get a desired thickness of silicon oxide for metrology. The film growth rate and refractive index are shown in Table 9.

Table 9: Summary of PEALD Process Parameters and Results for 1 ,3-Bis(Dimethylamino)- 1 ,1 ,3,3-Tetramethyldisiloxane

[0094] It can be seen that 1 ,3-Bis(Dimethylamino)-1 ,1 ,3,3-Tetramethyldisiloxane with Si- O-Si linkage gives higher growth rate per cycle compared to precursor

dimethylaminotrimethylsilane without Si-O-Si linkage.

Example 13: Atomic Layer Deposition of Silicon Oxide Films with 1 -dimethylamino-1 ,1 ,3,3,3- pentamethyldisiloxane

[0095] Atomic layer deposition of silicon oxide films were conducted using the following precursors: 1 -dimethylamino-1 ,1 ,3,3,3-pentamethyldisiloxane. The depositions were performed on the laboratory scale ALD processing tool. The silicon precursor was delivered to the chamber by vapor draw. Deposition process and parameters are provided in Table 4. Steps 1 to 6 are repeated until a desired thickness is reached. The process parameters of the depositions, the deposition rate and refractive index are provided in Table 10.

Table 10: Summary of Process Parameters and Results for 1 -dimethylamino-1 ,1 ,3,3,3- pentamethyldisiloxane.

[0096] The high temperature deposited (≥650 S C) films' composition analysis is shown in Table 11.

Table 11 : SIMS composition analysis of film deposited by 1 -dimethylamino-1 , 1 ,3,3,3- pentamethyldisiloxane

[0097] It can be seen that precursor, 1 -dimethylamino-1 ,1 ,3,3,3-pentamethyldisiloxane with Si-O-Si linkage, provides higher growth rate per cycle compared to precursor DMATMS without the Si-O-Si linkage. The film deposited at high temperature has low C, N, H impurities and low relative WER to thermal oxide, indicating high quality films were obtained.

[0098] The conformality of the film deposited at 650 S C was studied by TEM. The samples were imaged with a FEI Tecnai TF-20 FEG TEM operated at 200kV in bright-field (BF) TEM mode, high-resolution (HR) TEM mode, and high-angle annular dark-field (HAADF) STEM mode. The STEM probe size was 1 -2nm nominal diameter. The film surface coverage was 102% in the middle side and 97% at the bottom, confirming excellent step coverage for structured feature.

Example 14: PEALD Silicon Oxide Using 1 ,5-Bis(dimethylamino)-1 , 1 ,3,3,5, 5- hexamethyltrisiloxane.

[0099] Depositions were done with 1 ,5-Bis(dimethylamino)-1 ,1 ,3,3,5,5- hexamethyltrisiloxane as Si precursor and O 2 plasma under conditions given in Table 12. 1 ,5-Bis(dimethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane as Si precursor was delivered by carrier gas at 70°C.

Table 12: PEALD Parameters for Silicon Oxide Using 1 ,5-Bis(dimethylamino)-1 ,1 ,3,3,5,5- hexamethyltrisiloxane.

[00100] Steps b to e were repeated 200 times to get a desired thickness of silicon oxide for metrology. The film growth rate and refractive index are shown in Table 13.

Table 13: Summary of PEALD Process Parameters and Results for 1 ,5- Bis(dimethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane.

[00101] It can be seen that 1 ,5-Bis(dimethylamino)-1 ,1 ,3,3,5,5-hexamethyltrisiloxane with Si-O-Si linkage gives higher growth rate per cycle compare to precursor

dimethylaminotrimethylsilane without Si-O-Si linkage.

[00102] Although certain principles of the invention have been described above in connection with aspects or embodiments, it is to be clearly understood that this description is made only by way of example and not as a limitation of the scope of the invention.