Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
COMPUTATIONAL MEMORY CELL AND PROCESSING ARRAY DEVICE USING THE MEMORY CELLS FOR XOR AND XNOR COMPUTATIONS
Document Type and Number:
WIPO Patent Application WO/2018/106375
Kind Code:
A1
Abstract:
A memory cell and processing array that has a plurality of memory are capable of performing logic functions, including an exclusive OR (XOR) or an exclusive NOR (XNOR) logic function. The memory cell may have a read port in which the digital data stored in the storage cell of the memory cell is isolated from the read bit line.

Inventors:
SHU LEE-LEAN (US)
EHRMAN ELI (US)
Application Number:
PCT/US2017/060230
Publication Date:
June 14, 2018
Filing Date:
November 06, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
GSI TECHNOLOGY INC (US)
International Classes:
G11C8/08; G11C11/419; G11C8/16; G11C8/18; G11C11/404
Foreign References:
US6473334B12002-10-29
US20100260001A12010-10-14
US5744979A1998-04-28
US7924599B12011-04-12
US7489164B22009-02-10
CN104752431A2015-07-01
US5473574A1995-12-05
US20160284392A12016-09-29
US7019999B12006-03-28
US20100172190A12010-07-08
US20080273361A12008-11-06
USPP62430372P
Other References:
See also references of EP 3552207A4
Attorney, Agent or Firm:
LOHSE, Timothy, W. (US)
Download PDF:
Claims:
Claims:

1. A processing array, comprising:

at least one read bit line;

at least two memory cells connected to the at least one read bit line, each memory cell having a storage cell and an isolation circuit that buffers the storage cell from signals on the at least one read bit line, the isolation circuit having a read word line and a complementary read word line; and

wherein exclusive logic is performed by turning on read word line or complementary read word line of one memory cell to have the exclusive logic result between read word line and the storage cell data of one memory cell on the read bit line; and

wherein the read bit line is configured to provide read access to storage cell data.

2. The processing array of claim 1 further comprising a plurality of memory cells connected to the at least one read bit line to perform the exclusive logic function wherein each of the plurality of memory cells being turned on to perform the exclusive logic operation does not adversely affect the performance of the exclusive logic operation.

3. The processing array of claim 1 further comprising a plurality of memory cells to the at least one bit line wherein a plurality of memory cells are activated to form the

combinational logic result of the exclusive logic of each memory cell on the at least one bit line.

4. The processing array of claim 1 further comprising a write bit line connected to the at least two memory cells wherein data is written into the storage cell of one or more of the at least two memory cells using the write bit line.

5. The processing array of claim 1 further comprising a plurality of memory cells connected to the at least one read bit line and a write bit line connected to the plurality of memory cells wherein data may be written into the storage cell of one or more of the plurality of memory cells.

6. The processing array of claim 5 further comprising a write port that buffers the storage cell of each of the plurality of memory cells so that writing of data into any number of storage cells on a write bit line is performed.

7. The processing array of claim 4, wherein each memory cell is capable of performing a selective write operation.

8. The processing array of claim 7, wherein the processing array is capable of performing a logic operation and selective write operation in one cycle.

9. The processing array of claim 7, wherein the processing array is capable of performing a compare operation and a selective write operation in one cycle, the compare operation being performed with the value entered on one pair or a plurality pairs of read word lines and complementary read word lines and a compare result is generated and latched on the read bit line or a plurality of compare results are generated and latched on a plurality of read bit lines, and based on the previous cycle's latched compare result the selective write is performed on the current cycle on one pair or a plurality pairs of write bit lines and complementary write bit lines onto the cells activated by one or a plurality of write word lines.

10. The processing array of claim 1, wherein the exclusive logic operation is one of an exclusive OR operation and an exclusive NOR operation.

11. The processing array of claim 2 wherein the combinational logic is one of an AND operation, an NOR operation, an OR operation and an NAND operation.

12. The processing array of claim 1, wherein the processing array is capable of performing a parallel shifting operation.

13. The processing array of claim 1 , wherein the processing array is capable of performing a search operation. The search operation is performed with the search key entered on one pair or a plurality pairs of read word lines and complementary read word lines and the search result is on the read bit line or plurality of read bit lines.

14. The processing array of claim 1 , wherein each memory cell is a static random access memory cell.

15. The processing array of claim 14, wherein each static random access memory cell is one of a two port static random access memory cell, a three port static random access memory cell and a four port static random access memory cell.

16. The processing array of claim 1, wherein each memory cell is a non- volatile memory.

17. The processing array of claim 16, wherein the non-volatile memory is one of a non-volatile memory cell and a non-volatile memory device.

18. A processing array, comprising: a plurality of memory cells arranged in an array, wherein each memory cell has a storage cell, a read port for reading data from the storage cell and a write port for writing data to the storage cell;

a word line generator that is coupled to a read word line signal and a write word line signal for each memory cell in the array;

a plurality of bit line read and write logic circuits that are coupled to the read bit line, write bit line and a complementary write bit line of each memory cell;

each memory cell being coupled to a write word line and a read word line whose signals are generated by the word line generator and also being coupled to a read bit line, a write bit line and a complementary write bit line that are sensed by one of the plurality of bit line read and write logic circuits;

each memory cell having an isolation circuit that isolates a data signal representing a piece of data stored in the storage cell of the memory cell from the read bit line;

wherein two or more of the memory cells are coupled to at least one read bit line and activated to perform one of a Boolean exclusive OR operation and a Boolean exclusive NOR operation.

wherein the read bit line is a configured to provide read access to storage cell data.

19. The processing array of claim 18, wherein the isolation circuit further comprises a first transistor whose gate is coupled to the read word line and a second transistor whose gate is coupled to the data signal of the storage cell, a third transistor whose gate is coupled to the complementary read word line and a fourth transistor whose gate is coupled to the

complementary data signal of the storage cell.

20. The processing array of claim 19, wherein the four transistors of isolation circuit are all NMOS transistors.

21. The processing array of claim 19, wherein the four transistors of isolation circuit are all PMOS transistors.

22. The processing array of claim 18, wherein each storage cell further comprises a first inverter having an input and an output and a second inverter having an input coupled to the output of the first inverter and an output coupled to the input of the first inverter, a first access transistor coupled to the input of the first inverter and the output of the second inverter and coupled to a complementary write bit line and a second access transistor coupled to the output of the first inverter and the input of the second inverter and coupled to a write bit line.

23. The processing array of claim 22, wherein each write port further comprises a write access transistor whose gate is coupled to a write word line and whose drain is connected to the sources of the first and second access transistors.

24 The processing array of claim 22, wherein the write port further comprises a first write access transistor whose gate is coupled to a write word line and a second write access transistor whose gate is coupled to a complementary write word line and further comprising a third access transistor coupled to the input of the first inverter and the output of the second inverter and coupled to the write bit line and a fourth access transistor coupled to the output of the first inverter and the input of the second inverter and coupled to a complementary write bit line, a drain of the first write access transistor coupled to the sources of the first and second access transistors and a drain of the second write access transistor coupled to the sources of the third and fourth access transistors.

25. The processing array of claim 18, wherein each storage cell further comprises a first inverter having an input and an output and a second inverter having an input coupled to the output of the first inverter and an output coupled to the input of the first inverter and each write port further comprises a first write access transistor and a second write access transistor whose gates are coupled to a write word line, wherein a drain of the first write access transistor is coupled to the output of the first inverter and the input of the second inverter and a drain of the second write access transistor is coupled to the input of the first inverter and the output of the second inverter, a third write access transistor whose drain is coupled to a source of the first write access transistor, whose gate is coupled to the complementary write bit line and whose source is coupled to ground and a fourth write access transistor whose drain is coupled to a source of the second write access transistor, whose gate is coupled to the write bit line and whose source is coupled to ground.

26. The processing array of claim 18, wherein each memory cell is capable of performing a selective write operation.

27. The processing array of claim 26, wherein the processing array is capable of performing a logic operation and selective write operation in one cycle.

28. The processing array of claim 26, wherein the processing array is capable of performing a compare operation and a selective write operation in one cycle, the compare operation being performed with the value entered on one pair or a plurality pairs of read word lines and complementary read word lines and a compare result is generated and latched on the read bit line or a plurality of compare results are generated and latched on a plurality of read bit lines, and based on the previous cycle's latched compare result the selective write is performed on the current cycle on one pair or a plurality pairs of write bit lines and complementary write bit lines onto the cells activated by one or a plurality of write word lines.

29. The processing array of claim 18, wherein the exclusive logic operation is one of an exclusive OR operation and an exclusive NOR operation.

30. The processing array of claim 18, wherein each memory cell is capable of performing a parallel shifting operation.

31. The processing array of claim 18, wherein each memory cell is capable of performing a search operation.

32. The processing array of claim 18, wherein each memory cell is a static random access memory cell.

33. The processing array of claim 32, wherein the static random access memory cell is one of a two port static random access memory cell, a three port static random access memory cell and a four port static random access memory cell.

34. The processing array of claim 18, wherein each memory cell is a non- volatile memory.

35. The processing array of claim 34, wherein the non-volatile memory is one of a non-volatile memory cell and a non-volatile memory device.

36. A processing array, comprising:

a plurality of memory cells arranged in an array, wherein each memory cell has a storage cell, a read port for reading data from the storage cell and a write port for writing data to the storage cell;

a word line generator that is coupled to a read word line signal and a write word line signal for each memory cell in the array; a plurality of bit line read and write logic circuits that are coupled to the read bit line, write bit line and a complementary write bit line of each memory cell;

each memory cell being coupled to a write word line, a complementary write word line and a read word line whose signals are generated by the word line generator and also being coupled to a read bit line, a write bit line and a complementary write bit line that are sensed by one of the plurality of bit line read and write logic circuits;

each memory cell having an isolation circuit that isolates a data signal representing a piece of data stored in the storage cell of the memory cell from the read bit line;

wherein two or more of the memory cells are coupled to at least one read bit line and activated to perform one of a Boolean exclusive OR operation and a Boolean exclusive NOR operation;

wherein the read bit line is a configured to provide read access to storage cell data.

37. The process array of claim 36, wherein during the write operation, the data can be written to one or more memory cells on the bit line with the corresponding write word lines turned on, the complementary data can be written to different one or more memory cells on the same bit line with the corresponding complementary write word line turned on.

38. The processing array of claim 36 further comprising a write port that buffers the storage cell from the write bit line.

39. The processing array of claim 38 that is capable of performing a selective write operation.

40. The process array of claim 39, wherein during the selective write operation, the data can be written to one or more memory cells on the bit line with the corresponding write word lines turned on, the complementary data can be written to different one or more memory cells on the same bit line with the corresponding complementary write word line turned on.

41. The processing array of claim 40 that is capable of performing a logic operation and selective write operation in one cycle.

42. The processing array of claim 41, wherein the processing array is capable of performing a compare operation and a selective write operation in one cycle, the compare operation being performed with the value entered on one pair or a plurality pairs of read word lines and complementary read word lines and a compare result is generated and latched on the read bit line or a plurality of compare results are generated and latched on a plurality of read bit lines, and based on the previous cycle's latched compare result the selective write is performed on the current cycle on one pair or a plurality pairs of write bit lines and complementary write bit lines onto the cells activated by one or a plurality of write word lines and complementary write word lines.

43. The processing array of claim 36, wherein the processing array is capable of performing a parallel shifting operation.

44. The processing array of claim 36, wherein the processing array is capable of performing a search operation.

45. The processing array of claim 36, wherein each memory cell is a static random access memory cell.

46. The processing array of claim 36, wherein the static random access memory cell is one of a two port static random access memory cell, a three port static random access memory cell and a four port static random access memory cell.

47. A memory computation cell, comprising:

a storage cell;

at least one read bit line;

an isolation circuit that buffers the storage cell from signals on the at least one read bit line, the isolation circuit having a read word line and a complementary read word line; and

wherein the memory cell is capable of performing an exclusive logic function when the memory cell is connected to the at least one read bit line with another memory cell and by turning on read word line or complementary read word line of one memory cell to have the exclusive logic result between read word line and the storage cell data of one memory cell on the read bit line; and

wherein the read bit line is configured to provide read access to storage cell data.

48. The memory computation cell of claim 47 further comprising a write bit line connected to the memory cell wherein data is written into the storage cell.

49. The memory computation cell of claim 48 further comprising a write port device that buffers the storage cell from the write bit line.

50. The memory computation cell of claim 48 that is capable of performing a selective write operation.

51. The memory computation cell of claim 50 that is capable of performing a logic operation and selective write operation in one cycle.

52. The memory computation cell of claim 47, wherein the exclusive logic operation is one of an exclusive OR operation and an exclusive NOR operation.

53. The memory computation cell of claim 48, wherein the memory cell is capable of performing a parallel shifting operation.

54. The memory computation cell of claim 47, wherein the memory cell is capable of performing a search operation.

55. The memory computation cell of claim 47, wherein the memory cell is a static random access memory cell.

56. The memory computation cell of claim 55, wherein the static random access memory cell is one of a two port static random access memory cell, a three port static random access memory cell and a four port static random access memory cell.

57. The memory computation cell of claim 47, wherein the memory cell is a nonvolatile memory.

58. The memory computation cell of claim 57, wherein the non-volatile memory is one of a non-volatile memory cell and a non-volatile memory device.

59. A memory computation cell, comprising:

a memory cell having a storage cell, a read port for reading data from the storage cell and a write port for writing data to the storage cell;

an isolation circuit that isolates a data signal representing a piece of data stored in the storage cell from a read bit line;

the read port having a read word line and a complementary read word line that are coupled to the isolation circuit and activates the isolation circuit and the read bit line is coupled to the isolation circuit;

the write port having a write word line, a write bit line and complementary write bit line coupled to the memory cell; wherein the memory cell is capable of performing one of a Boolean exclusive OR operation and a Boolean exclusive NOR operation and having the result on the read bit line; wherein the read bit line is configured to provide read access to storage cell data.

60. The memory computation cell of claim 59, wherein the isolation circuit further comprises a first transistor whose gate is coupled to the read word line and a second transistor whose gate is coupled to the data signal of the storage cell, a third transistor whose gate is coupled to the complementary read word line and a fourth transistor whose gate is coupled to the complementary data signal of the storage cell.

61. The memory computation cell of claim 60, wherein the four transistors of isolation circuit are all NMOS transistors.

62. The memory computation cell of claim 60, wherein the four transistors of isolation circuit are all PMOS transistors.

63. The memory computation cell of claim 59, wherein the storage cell further comprises a first inverter having an input and an output and a second inverter having an input coupled to the output of the first inverter and an output coupled to the input of the first inverter, a first access transistor coupled to the input of the first inverter and the output of the second inverter and coupled to a complementary write bit line and a second access transistor coupled to the output of the first inverter and the input of the second inverter and coupled to a write bit line.

64. The memory computation cell of claim 63, wherein the write port further comprises a write access transistor whose gate is coupled to a write word line and whose drain is connected to the sources of the first and second access transistors.

65. The memory computation cell of claim 63, wherein the write port further comprises a first write access transistor whose gate is coupled to a write word line and a second write access transistor whose gate is coupled to a complementary write word line and further comprising a third access transistor coupled to the input of the first inverter and the output of the second inverter and coupled to the write bit line and a fourth access transistor coupled to the output of the first inverter and the input of the second inverter and coupled to a complementary write bit line, a drain of the first write access transistor coupled to the sources of the first and second access transistors and a drain of the second write access transistor coupled to the sources of the third and fourth access transistors.

66. The memory computation cell of claim 59, wherein the storage cell further comprises a first inverter having an input and an output and a second inverter having an input coupled to the output of the first inverter and an output coupled to the input of the first inverter and the write port further comprises a first write access transistor and a second write access transistor whose gates are coupled to a write word line, wherein a drain of the first write access transistor is coupled to the output of the first inverter and the input of the second inverter and a drain of the second write access transistor is coupled to the input of the first inverter and the output of the second inverter, a third write access transistor whose drain is coupled to a source of the first write access transistor, whose gate is coupled to the complementary write bit line and whose source is coupled to ground and a fourth write access transistor whose drain is coupled to a source of the second write access transistor, whose gate is coupled to the write bit line and whose source is coupled to ground.

67. The memory computation cell of claim 59 that is capable of performing a selective write operation.

68. The memory computation cell of claim 59, wherein the cell is capable of performing a parallel shifting operation.

69. The memory computation cell of claim 59, wherein the cell is capable of performing a search operation.

70. The memory computation cell of claim 59, wherein the cell is a static random access memory cell.

71. The memory computation cell of claim 60, wherein the static random access memory cell is one of a two port static random access memory cell, a three port static random access memory cell and a four port static random access memory cell.

72. The memory computation cell of claim 59, wherein the cell is a non-volatile memory.

73. The memory computation cell of claim 72, wherein the non- volatile memory is one of a non-volatile memory cell and a non-volatile memory device.

74. A memory computation cell, comprising:

a memory cell having a storage cell, a read port for reading data from the storage cell and a write port for writing data to the storage cell; an isolation circuit that isolates a data signal representing a piece of data stored in the storage cell from a read bit line;

the read port having a read word line and a complementary read word line that are coupled to the isolation circuit and activates the isolation circuit and the read bit line is coupled to the isolation circuit;

the write port having a write word line and a complementary write word line, a write bit line and complementary write bit line coupled to the memory cell; and

wherein the memory cell is capable of performing one of a Boolean exclusive OR operation and a Boolean exclusive NOR operation and having the result on the read bit line. wherein the read bit line is a configured to provide read access to storage cell data.

75. The memory computation cell of claim 74, wherein write port buffers the storage cell from the write bit line.

76. The memory computation cell of claim 74, wherein during the write operation, the data can be written to the memory cell on the write bit line with the write word line turned on, or the complementary data to the data on the write bit line can be written to the memory cell with the complementary write word line turned on.

77. The memory computation cell of claim 75 that is capable of performing a selective write operation.

78. The memory computation cell of claim 75 that is capable of performing a logic operation and selective write operation in one cycle.

79. The memory computation cell of claim 74, wherein the memory cell is capable of performing a parallel shifting operation.

80. The memory computation cell of claim 74, wherein the memory cell is capable of performing a search operation.

81. The memory computation cell of claim 74, wherein the memory cell is a static random access memory cell.

82. The memory computation cell of claim 81, wherein the static random access memory cell is one of a two port static random access memory cell, a three port static random access memory cell and a four port static random access memory cell.

Description:
COMPUTATIONAL MEMORY CELL AND PROCESSING ARRAY DEVICE USING THE MEMORY CELLS FOR XOR AND XNOR COMPUTATIONS

Priority Claims/Related Applications

This application claims priority under 35 USC ยงยง 119, 364 and 365 to U.S.

Nonprovisional Patent Application Serial No. 15/709,399 filed on September 19, 2017 and entitled "Computational Memory Cell and Processing Array Device Using the Memory Cells for XOR and XNOR Computations" and U.S. Nonprovisional Patent Application Serial No.

15/709,401 filed on September 19, 2017 and entitled "Computational Memory Cell and

Processing Array Device Using the Memory Cells for XOR and XNOR Computations", which applications in turn claim the benefit and priority under 35 USC 119(e) and 120 to U.S.

Provisional Patent Application Serial No. 62/430,767 filed December 6, 2016 and entitled "Computational Dual Port SRAM Cell And Processing Array Device Using The Dual Port

SRAM Cells For Xor And Xnor Computations", the entirety of which is incorporated herein by reference.

Field

The disclosure relates generally to a static random access memory cell that may be used for computations .

Background

An array of memory cells, such as dynamic random access memory (DRAM) cells, static random access memory (SRAM) cells, content addressable memory (CAM) cells or non-volatile memory cells, is a well-known mechanism used in various computer or processor based devices to store digital bits of data. The various computer and processor based devices may include computer systems, smartphone devices, consumer electronic products, televisions, internet switches and routers and the like. The array of memory cells are typically packaged in an integrated circuit or may be packaged within an integrated circuit that also has a processing device within the integrated circuit. The different types of typical memory cells have different capabilities and characteristics that distinguish each type of memory cell. For example, DRAM cells take longer to access, lose their data contents unless periodically refreshed, but are relatively cheap to manufacture due to the simple structure of each DRAM cell. SRAM cells, on the other hand, have faster access times, do not lose their data content unless power is removed from the SRAM cell and are relatively more expensive since each SRAM cell is more complicated than a DRAM cell. CAM cells have a unique function of being able to address content easily within the cells and are more expensive to manufacture since each CAM cell requires more circuitry to achieve the content addressing functionality.

Various computation devices that may be used to perform computations on digital, binary data are also well-known. The computation devices may include a microprocessor, a CPU, a microcontroller and the like. These computation devices are typically manufactured on an integrated circuit, but may also be manufactured on an integrated circuit that also has some amount of memory integrated onto the integrated circuit. In these known integrated circuits with a computation device and memory, the computation device performs the computation of the digital binary data bits while the memory is used to store various digital binary data including, for example, the instructions being executed by the computation device and the data being operated on by the computation device .

More recently, devices have been introduced that use memory arrays or storage cells to perform computation operations. In some of these devices, a processor array to perform computations may be formed from memory cells. These devices may be known as in-memory computational devices.

Big data operations are data processing operations in which a large amount of data must be processed. Machine learning uses artificial intelligence algorithms to analyze data and typically require a lot of data to perform. The big data operations and machine learning also are typically very computationally intensive applications that often encounter input/output issues due to a bandwidth bottleneck between the computational device and the memory that stores the data. The above in-memory computational devices may be used, for example, for these big data operations and machine learning applications since the in-memory computational devices perform the computations within the memory thereby eliminating the bandwidth bottleneck.

An SRAM cell can be configured to perform basic Boolean operations such as AND, OR, NAND and NOR. This SRAM cell can also support a Selective Write operation. However, this SRAM cell cannot perform certain logic functions that may be desirable. For example, it is desirable to be able to implement an exclusive OR (XOR) logic function since the XOR logic function is frequently used in a search operation when the search key needs to be compared to the contents in storage.

Brief Description of the Drawings

Figure 1 illustrates an implementation of a dual port SRAM cell that can perform an XOR or XNOR function;

Figure 2 illustrates an implementation of a processing array that has a plurality of the SRAM cells shown in Figure 1 and performs an XOR or XNOR logic function;

Figure 3 illustrates a write port truth table for the dual port SRAM cell of Figure 1;

Figure 4 illustrates a second implementation of a dual port SRAM cell that can perform an XOR or XNOR function and is capable to writing both "0" and "1" data;

Figure 5 illustrates a write port truth table for the dual port SRAM cell of Figure 4;

Figure 6 illustrates an implementation of a processing array that has a plurality of the SRAM cells shown in Figure 4 and performs an XOR or XNOR logic function;

Figure 7 illustrates another implementation of a dual port SRAM cell with an alternate write port;

Figures 8 and 9 illustrate two example of a latch circuit that may be part of the SRAM cells shown in Figures 1, 4 and 7;

Figure 10 illustrates an implementation of a dual port SRAM cell that can perform an XOR or XNOR function; and

Figure 11 illustrates another implementation of a dual port SRAM cell that can perform an XOR or XNOR function.

Detailed Description of One or More Embodiments

The disclosure is particularly applicable to a CMOS implemented memory cell and processing array with a plurality of the memory cells that are capable to performing an exclusive OR (XOR) or exclusive NOR (XNOR) logic function (collectively a "exclusive logic operation") and it is in this context that the disclosure will be described. It will be appreciated, however, that the memory cell and processing array has greater utility and is not limited to the below disclosed implementations since the memory cell may be constructed using different processes and may have different circuit configurations than those disclosed below that perform the exclusive OR (XOR) or exclusive NOR (XNOR) logic function and so are within the scope of this disclosure. For purposes of illustration, a dual port SRAM cell is disclosed below and in the figures.

However, it is understood that the SRAM computation cell and processing array may also be implemented with an SRAM cell having three or more ports and the disclosure is not limited to the dual port SRAM cell disclosed below. It is also understood that the SRAM cell having three or more ports may be slightly differently constructed than the dual port SRAM shown in the figures, but one skilled in the art would understand how to construct those three or more port SRAMs for the disclosure below.

Furthermore, although an SRAM cell is used in the examples below, it is understood that the disclosed memory cell for computation and the processing array using the memory cells may be implemented using various different types of memory cells including the DRAMs, CAMs, non-volatile memory cells and non-volatile memory devices and these implementations using the various types of memory cells are within the scope of the disclosure.

Figure 1 illustrates an implementation of a dual port SRAM cell 100 with an XOR or XNOR function. The dual port SRAM cell 100 may include two cross coupled inverters 131, 132 and two access transistors M33 and M34 that are coupled together as shown in Figure 1 to form the basic SRAM cell. The SRAM cell may be operated as a storage latch and may have a read port and a write port to form a dual port SRAM. The two inverters 131, 132 are cross coupled since the input of the first inverter is connected to the output of the second inverter (labeled D) and the output of the first inverter (labeled Db) is coupled to the input of the second inverter as shown in Figure 1. The cross coupled inverters 131, 132 form the latch of the SRAM cell. The access transistor M34 and M33 may have their respective gates connected to a write bit line and its complement bit line (WBL, WBLb), respectively. A write word line carries a signal WE. The write word line WE is coupled to the gate of a transistor M35 that is part of the write access circuitry for the SRAM cell.

The circuit in Figure 1 may also have a read word line RE, a complementary read word line REb, a read bit line RBL and a read port formed by transistors M31, M32 coupled together and transistors M36, M37 coupled together to form as isolation circuit. The read word line RE may be coupled to the gate of transistor M31 that forms part of the read port while the read bit line RBL is coupled to the drain terminal of transistor M31. The gate of transistor M32 may be coupled to the Db output from the cross coupled inverters 131, 132. The isolation circuit isolates the latch output Db (in the example in Figure 1) from the read bit line and signal/voltage level of RBL so that the Db signal is not susceptible to the lower bit line level caused by multiple "0" data stored in multiple cells in contrast to the typical SRAM cell.

The complimentary read word line REb may be coupled to the gate of transistor M36 that forms part of the read port while the read bit line RBL is coupled to the drain terminal of transistor M36. The gate of transistor M37 may be coupled to the D output from the cross coupled inverters 131, 132. The isolation circuit isolates the latch output D (in the example in Figure 1) from the read bit line and signal/voltage level of RBL so that the D signal is not susceptible to the lower bit line level caused by multiple "0" data stored in multiple cells in contrast to the typical SRAM cell.

The cell 100 may further include two more read word line transistors M36, M37 and one extra complementary read word line, REb. When the read port is active, either RE or REb is high and the REb signal/voltage level is the complement of RE signal/voltage level. RBL is pre- charged high, and if either one of-the transistor pair M31 , M32 are both turned on or the transistors pair M36, M37 are both turned on, RBL is discharged to 0. If none of (M31, M32) or (M36, M37) series transistors is on, then RBL stay high as 1 since it was precharged high and not coupled to ground. The cell 100 may operate as a dual-port SRAM cell. The write operation is activated by WE and the data is written by toggling of WBL and WBLb. The read operation is activated by RE and the read data is accessed on RBL. The cell 100 may further be used for computation where RBL is also used for logic operation. The following equation below, where D is the data stored in the cell and Db is the complement data stored in the cell, describes the functioning/operation of the cell:

RBL = AND (NAND(RE, Db), NAND (REb, D)) = XNOR (RE, D) (EQ1) If the word size is 8, then the word is stored in 8 cells (with one cell being shown in

Figure 1) on the same bit line. On a search operation, an 8 bit search key can be entered using the RE, REb lines of eight cells to compare the search key with the cell data. If the search key bit is 1, then the corresponding RE=1 and REb=0 for that cell. If the search key bit is 0, then the corresponding RE=0 and REb=l. If all 8 bits match the search key, then RBL will be equal to 1. If any one or more of the 8 bits is not matched, then RBL will be discharged and be 0 indicating no match. Therefore, this cell 100 (when used with 7 other cells for an 8 bit search key) can perform the same XNOR function but uses half the number of cell as the typical SRAM cell. The following equation for the multiple bits on the bit line may describe the operation of the cells as:

RBL = AND (XNOR (RE1, Dl), XNOR(RE2, D2), XNOR(REi, Di)), where I is the number of active cell. (EQ2)

By controlling either RE or REb to be a high signal/on, the circuit 100 may also be used to do logic operations mixing true and complement data as shown below:

RBL = AND (Dl, D2, Dn, Dbn+1, Dbn+2, ... Dbm) (EQ3)

where Dl, D2, ... Dn are "n" number of data with RE on and Dbn+1, Dbn+2, ... Dbm are m-n number of data with REb on.

Furthermore, if the cell 100 stores inverse data, meaning WBL and WBLb shown in Figure 1 are swapped, then the logic equation EQl becomes an XOR function and logic equation EQ3 becomes a NOR function and can be expressed as EQ4 and EQ5:

RBL = XOR (RE, D) (EQ4)

RBL = NOR (Dl, D2, Dn, Dbn+1, Dbn+2, ... Dbm) (EQ5)

where Dl, D2, ... Dn are n number of data with RE on and Dbn+1, Dbn+2, ... Dbm are m-n number of data with REb on.

In another embodiment, the read port of the circuit 100 in Figure 1 may be reconfigured differently to achieve different Boolean equation. Specifically, transistors M31, M32, M36 and M37 may be changed to PMOS, the source terminal of M32 and M37 may be VDD instead of VSS/ground, the bit line is pre-charged to 0 instead of 1, and the word line RE/REb active state is 0. In this embodiment, the logic equations EQl is inverted so that RBL is an XOR function of RE and D (EQ6). EQ3 is rewritten as an OR function (EQ7) as follows:

RBL = XOR (RE, D) (EQ6)

RBL = OR (D 1 , D2, ... , Dn, Dbn+1 , Dbn+2, ... Dbm) (EQ7)

where Dl, D2, ... Dn are n number of data with RE on and Dbn+1, Dbn+2, ... Dbm are m-n number of data with REb on.

If the cell stores the inverse data of the above discussed PMOS read port, meaning WBL and WBLb is swapped, then RBL = XNOR (RE, D) (EQ8)

RBL = NAND (Dl, D2, Dn, Dbn+1, Dbn+2, ... Dbm) (EQ9)

where Dl, D2, ... Dn are n number of data with RE on and Dbn+1, Dbn+2, ... Dbm are m-n number of data with REb on.

For example, consider a search operation where a digital word needs to be found in a memory array in which the memory array can be configured as each bit of the word stored on the same bit line. To compare 1 bit of the word, then the data is stored in a cell and the RE signal applied to the cell is the search key ("Key"), then EQ1 can be written as below:

RBL = XNOR (Key, D) (EQ10)

If Key=D, then RBL=1. If the word size is 8 bits as D[0:7], then the search key Key[0:7] is an RE signal applied to each cell corresponding to the value of each bit in the search key, then EQ2 can be expressed as search result and be written as below:

RBL = AND (XNOR(Key[0], D[0]), XNOR(Key[l], D[l]),..., XNOR(Key[7], D[7]))

(EQ11)

If all Key[i] is equal to D[i] where i=0-7, then the search result RBL is a match. If any one or more of Key[i] is not equal to D[i], then the search result is not a match. A parallel search can be performed in one operation by arranging multiple data words along the same word line and on parallel bit lines with each word on one bit line.

Figure 2 illustrates an implementation of a processing array 200 that has a plurality of the SRAM cells shown in Figure 1 (cell 00, ..., cell On and cell mO, ..., cell mn formed in an array) that can perform an XOR or XNOR logic function or the other logic functions as disclosed above. The array shown is formed by M word lines (REO, REbO, WE0, ..., REm, REbm, WEm) and N bit lines (WBLbO, WBL0, RBLO, ..., WBLbn, WBLn, RBLn). The processing array 200 may have a word line generator 202 that generates the word line signals/voltage levels and a plurality of bit line read/write logic circuits (BL Read Write Logic 0, ..., BL Read/Write Logic n) 204 that receive and process the bit line signals to generate the results of the Boolean logic function.

In a read cycle, WL generator 202 generates one or multiple RE or REb signals in a cycle and RBL forms Boolean functions as described above whose results are sensed/read by the BL Read Write Logic 204. The BL Read Write Logic 204 processes the RBL result and sends it back to its WBLAVBLb for writing/using in that cell, or to the neighboring BL ReadAVrite Logic for writing/using in that neighboring cell, or send it out of this processing array. Alternatively, the BL ReadAVrite logic 204 can store RBL result from its own bit line or from the neighboring bit line in a latch so that the next cycle ReadAVrite logic can perform logic with the latched RBL result data.

In a write cycle, the WL generator 202 generates one or more WE signals for the cells to be written and the BL ReadAVrite Logic 204 processes the write data, either from its own RBL, or from the neighboring RBL or from out of this processing array. The ability of BL ReadAVrite Logic to process the data from the neighboring bit line means that the data can be shifting from one bit line to the neighboring bit line and one or more or all bit lines in the processing array may be shifting concurrently. The BL ReadAVrite Logic 204 can also decide not to write for a Selective Write operation based on RBL result. For example, WBL can be written to a data if RBL=1. If RBL=0, then a write is not performed.

Figure 3 illustrates a write port truth table for the dual port SRAM cell of Figure 1. If WE is 0, no write is performed (as reflected by the D(n-l) shown in Figure 3). If WE is 1, then the storage nodes D and its complement Db are written by WBL and WBLb. D=l and Db=0 if WBL=1 and WBLb=0. D=0 and D=l if WBL=0 and WBLb=l. If both WBL and WBLb are 0, then no write is performed. So this cell can perform Selective Write function with

WBL=WBLb=0, with WE=1.

When doing a Selective Write, it is often desired to write data "1" on some cells and data

"0" to other cells on the same bit line. For the dual port XOR cell illustrated in Figure 1, this can be accomplished by taking 2 cycles to write data in which data "1" is written in one cycle and data "0" is written in the other cycle.

In another embodiment of the SRAM cell shown in Figure 4, the SRAM cell may have similar transistors as the cell in Figure 1 that are coupled as described above and operate as described above (although labeled with different reference identifiers, M61-M67, 161 and 162 instead of M31-M37, 131 and 132 respectively) and three extra transistors M68, M69, M610 and one extra complementary write word line WEb that are coupled as shown in Figure 4. Like the SRAM cell in Figure 1, the SRAM cell in Figure 4 can also use inverted data and different reconfigurations like the SRAM cell in Figure 1. The three added transistors allow the inverted data (Db) to be written to the cells with WEb high, at the same time as data is being written to the cell with WE high. Thus, this embodiment of the SRAM cell is capable of writing both "0" and "1" data simultaneously. Figure 5 shows the truth table of the write operation for the cell shown in Figure 4. The first 4 rows, WEb=0, the write operation is the same as shown in cell shown in Figure 1. However, when WE=0 and WEb=l, inverted data is written, meaning D=l, if WBL=0, WBLb=l; D=0 if WBL=1, WBLb=0. Selective Write is performed the same way with WE=0, WEb=l that no write is performed if both WBL=WBLb=0. WE and WEb both are 1 is not allowed that cell is undefined under this condition. Figure 6 illustrates an implementation of a processing array 600 that has a plurality of the SRAM cells shown in Figure 4 and performs an XOR/XNOR or other logic functions and it has the same elements that operate in the same manner as shown in Figure 2, but has the added WEb signals that are generated by the WL generator 602.

It is desired to do a sequence of logic operation on the processing array of 200 in Fig 2 or 600 in Fig 6. The sequence of logic operation can be implemented as logic operation described from EQ 1 to EQ11 and a Selective Write operation in the same cycle. One particular example as Compare and Write operation. WL Generator can send out a value on one pair or a plurality of pairs of the read word lines and complementary read word lines and compares with the value stored in the cells. The compare operation is an XNOR operation, meaning if the value is matched, RBL of those matched bit lines will be 1, and RBL of those unmatched bit lines will be 0. Matched bit lines' BL Read Write logic can do Selective Write and write the values to the cells with write word lines are on; and unmatched bitlines' BL Read/Write logic will not perform the writing. The XOR cells in 200 and 600 are a dual port cell, RBL and WBL are separate lines and RE and WE are separate lines, so the compare operation on RBL of one set of RE and the selective write operation on WBL of the other set of WE can be performed at the same cycle. A sequence of Compare and Write operation can be done as a pipelined operation such that the first cycle is to do the Compare operation and RBL result is latched in BL Read Write Logic, and the following cycle is to do Selective Write based on the previous cycle's latched RBL result, and on the same cycle do the next Compare operation. In this manner, RE, WE, RBL and WBL are just toggled once in a cycle to complete Compare and Write operation. US Patent application 62/430,372, owned by the same assignee as the present application and incorporated herein by reference further describe a Full Adder implementation by doing 4 cycles Compare and write operation.

Returning to Figure 2, on the write cycle, the WE of an unselected cell is 0, but one of WBL and WBLb is 1. For example, WEm is 1 for the cell mO to be written, but WEO is 0 for cell 00 not to be written. In the cell in Figure 1, D and Db of the unselected cell shall keep the original value. But if D of the unselected cell stores a "1" and the drain of M35 is 0 and WBLb is 1, then at the instant M33 gate is turned on, the capacitance charge of node D is charge sharing with the capacitance of node N, the drain of M35 and sources of M33 and M34. The high level of D is lowered by this charge sharing, and if the node N capacitance is high enough, the level will be lowered such that 141 and 142 latch flip to the opposite data.

Figure 7 illustrates another implementation of a dual port SRAM cell 700 showing only an alternate write port that improves the charge sharing issue noted above. The cell 700 would have the similar read port(s) as shown in Figure 1 although those ports are not shown in Figure 7 for clarity purposes. In particular, in this embodiment, transistor M35 in Figure 1 may be split into transistors M95 and M96 as shown in Figure 7. In this embodiment, the node D can only charge share with a drain of M93 and source of M95, and the node D is no longer affected by the high voltage level of drain of M94 to avoid the data flipping to the opposite state. This improves the weakness of the unselective cell's charge sharing. The other way to improve Figure 1 is to increase the capacitance of node D by having bigger gate size of 131 and 132 and the gate of read port. Note that it works the same way if transistors M93(M94), M95(M96) are swapped such that M93 with WBLb gated is tied to node D and M95 is tied to VSS. The splitting of the pull down transistor applies to all the write port of the cell discussed in this disclosure.

Returning to Figure 1, the latch device 131 and 132 can be a simple inverter. To do a successful writing, the driver strength of series transistor M33 and M35 needs to be stronger than the pull up PMOS transistor of 132. This ratio needs to be around 2 to 3 times. In advanced technology like 28nm or better, the layout of the PMOS and NMOS is preferred to have an equal length. So the PMOS transistor of 131 and 132 could be actually 2 or more PMOS transistors in series, this is shown as Figure 8. For the ease of the layout, 1 or more of the series PMOS transistor could be tied to ground, this is shown in Figure 9. The latch inverter in Figures 8 and 9 can be used in all the cells shown in figures and described above. As set forth above, the disclosed computation SRAM cell and processing array may be implemented using an SRAM cell having more than 2 ports, such as a 3 port SRAM, a 4 port SRAM, etc. For example, the SRAM computation cell may be a 3-port cell that has 2 read ports and 1 write port. In this non-limiting example, the 3 port SRAM cell may be used to perform an operation like Y= OR (AND (A, B), AND (A,C)) more efficiently. Using the 3 port SRAM, the value of variable A is used twice using the 2 read ports. In this example operation, Y can be calculated in one cycle in which an AND (A,B) result is on RBLl and an AND (A,C) result is on RBL2; and on the same cycle RBL2 data can be sent to RBLl to do the OR operation to generate the final result. Therefore, this logic equation/operation can be done in 1 cycle when word lines are toggled once to generate the result, compared to 2 cycles of the dual port cell. Similarly, a 4 port SRAM cell could be used as well and the disclosure is not limited to any particular number of ports of the SRAM cell.

In the processing array disclosed above, the processing array can also do parallel shifting operation to shift the data from one bit line to the neighboring bit line on one or more or all bit lines concurrently.

Figure 10 illustrates an implementation of a dual port SRAM cell 1000 that may be used for computation. The cell in Figure 10 has the same isolation circuits (M101, M102, M106, M107) for the read bit line, the same storage latches (1101, 1102), the same read word line and complementary read word line as the cell described in Figure 1. However, the Selective Write implementation is different in Fig 10. The active low write word line, WEb, is connected to an input to a NOR logic gate 1103 whose other input is connected to the active low Selective Write control signal, SWb, to control the gate of access transistors Ml 03 and Ml 04. SWb is running in the same direction as the bit line. The writing to the cell can only happen when both write word line and selective write signals are active.

Figure 11 illustrates another implementation of a dual port SRAM cell 1100 that may be used for computation. Figure 11 is similar to Figure 10, with selective write control signal SW, to combine with write word line, WE, to control the selective write operation. 2 access transistors Ml 13 and Ml 18 are in series to couple the storage latches to write bit line, WBL, and similarly, 2 access transistors Ml 14 and Ml 19 are in series to couple the storage latches to complementary write bit line, WBLb. The gates of Ml 13 and Ml 14 are coupled to WE and the gates of Ml 18 and Ml 19 are coupled to SW. SW is running in the same direction as the bit line. The writing to the cell can only happen when both write word line and selective write signals are active.

The foregoing description, for purpose of explanation, has been described with reference to specific embodiments. However, the illustrative discussions above are not intended to be exhaustive or to limit the disclosure to the precise forms disclosed. Many modifications and variations are possible in view of the above teachings. The embodiments were chosen and described in order to best explain the principles of the disclosure and its practical applications, to thereby enable others skilled in the art to best utilize the disclosure and various embodiments with various modifications as are suited to the particular use contemplated.

The system and method disclosed herein may be implemented via one or more components, systems, servers, appliances, other subcomponents, or distributed between such elements. When implemented as a system, such systems may include and/or involve, inter alia, components such as software modules, general-purpose CPU, RAM, etc. found in general- purpose computers. In implementations where the innovations reside on a server, such a server may include or involve components such as CPU, RAM, etc., such as those found in general- purpose computers.

Additionally, the system and method herein may be achieved via implementations with disparate or entirely different software, hardware and/or firmware components, beyond that set forth above. With regard to such other components (e.g., software, processing components, etc.) and/or computer-readable media associated with or embodying the present inventions, for example, aspects of the innovations herein may be implemented consistent with numerous general purpose or special purpose computing systems or configurations. Various exemplary computing systems, environments, and/or configurations that may be suitable for use with the innovations herein may include, but are not limited to: software or other components within or embodied on personal computers, servers or server computing devices such as

routing/connectivity components, hand-held or laptop devices, multiprocessor systems, microprocessor-based systems, set top boxes, consumer electronic devices, network PCs, other existing computer platforms, distributed computing environments that include one or more of the above systems or devices, etc. In some instances, aspects of the system and method may be achieved via or performed by logic and/or logic instructions including program modules, executed in association with such components or circuitry, for example. In general, program modules may include routines, programs, objects, components, data structures, etc. that perform particular tasks or implement particular instructions herein. The inventions may also be practiced in the context of distributed software, computer, or circuit settings where circuitry is connected via communication buses, circuitry or links. In distributed settings, control/instructions may occur from both local and remote computer storage media including memory storage devices.

The software, circuitry and components herein may also include and/or utilize one or more type of computer readable media. Computer readable media can be any available media that is resident on, associable with, or can be accessed by such circuits and/or computing components. By way of example, and not limitation, computer readable media may comprise computer storage media and communication media. Computer storage media includes volatile and nonvolatile, removable and non-removable media implemented in any method or technology for storage of information such as computer readable instructions, data structures, program modules or other data. Computer storage media includes, but is not limited to, RAM, ROM, EEPROM, flash memory or other memory technology, CD-ROM, digital versatile disks (DVD) or other optical storage, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other medium which can be used to store the desired information and can accessed by computing component. Communication media may comprise computer readable instructions, data structures, program modules and/or other components. Further, communication media may include wired media such as a wired network or direct-wired connection, however no media of any such type herein includes transitory media. Combinations of the any of the above are also included within the scope of computer readable media.

In the present description, the terms component, module, device, etc. may refer to any type of logical or functional software elements, circuits, blocks and/or processes that may be implemented in a variety of ways. For example, the functions of various circuits and/or blocks can be combined with one another into any other number of modules. Each module may even be implemented as a software program stored on a tangible memory (e.g., random access memory, read only memory, CD-ROM memory, hard disk drive, etc.) to be read by a central processing unit to implement the functions of the innovations herein. Or, the modules can comprise programming instructions transmitted to a general purpose computer or to processing/graphics hardware via a transmission carrier wave. Also, the modules can be implemented as hardware logic circuitry implementing the functions encompassed by the innovations herein. Finally, the modules can be implemented using special purpose instructions (SIMD instructions), field programmable logic arrays or any mix thereof which provides the desired level performance and cost.

As disclosed herein, features consistent with the disclosure may be implemented via computer-hardware, software and/or firmware. For example, the systems and methods disclosed herein may be embodied in various forms including, for example, a data processor, such as a computer that also includes a database, digital electronic circuitry, firmware, software, or in combinations of them. Further, while some of the disclosed implementations describe specific hardware components, systems and methods consistent with the innovations herein may be implemented with any combination of hardware, software and/or firmware. Moreover, the above-noted features and other aspects and principles of the innovations herein may be implemented in various environments. Such environments and related applications may be specially constructed for performing the various routines, processes and/or operations according to the invention or they may include a general-purpose computer or computing platform selectively activated or reconfigured by code to provide the necessary functionality. The processes disclosed herein are not inherently related to any particular computer, network, architecture, environment, or other apparatus, and may be implemented by a suitable

combination of hardware, software, and/or firmware. For example, various general-purpose machines may be used with programs written in accordance with teachings of the invention, or it may be more convenient to construct a specialized apparatus or system to perform the required methods and techniques.

Aspects of the method and system described herein, such as the logic, may also be implemented as functionality programmed into any of a variety of circuitry, including programmable logic devices ("PLDs"), such as field programmable gate arrays ("FPGAs"), programmable array logic ("PAL") devices, electrically programmable logic and memory devices and standard cell-based devices, as well as application specific integrated circuits. Some other -Impossibilities for implementing aspects include: memory devices, microcontrollers with memory (such as EEPROM), embedded microprocessors, firmware, software, etc. Furthermore, aspects may be embodied in microprocessors having software-based circuit emulation, discrete logic (sequential and combinatorial), custom devices, fuzzy (neural) logic, quantum devices, and hybrids of any of the above device types. The underlying device technologies may be provided in a variety of component types, e.g., metal-oxide semiconductor field-effect transistor

("MOSFET") technologies like complementary metal-oxide semiconductor ("CMOS"), bipolar technologies like emitter-coupled logic ("ECL"), polymer technologies (e.g., silicon-conjugated polymer and metal-conjugated polymer-metal structures), mixed analog and digital, and so on.

It should also be noted that the various logic and/or functions disclosed herein may be enabled using any number of combinations of hardware, firmware, and/or as data and/or instructions embodied in various machine-readable or computer-readable media, in terms of their behavioral, register transfer, logic component, and/or other characteristics. Computer-readable media in which such formatted data and/or instructions may be embodied include, but are not limited to, non-volatile storage media in various forms (e.g., optical, magnetic or semiconductor storage media) though again does not include transitory media. Unless the context clearly requires otherwise, throughout the description, the words "comprise," "comprising," and the like are to be construed in an inclusive sense as opposed to an exclusive or exhaustive sense; that is to say, in a sense of "including, but not limited to." Words using the singular or plural number also include the plural or singular number respectively. Additionally, the words "herein,"

"hereunder," "above," "below," and words of similar import refer to this application as a whole and not to any particular portions of this application. When the word "or" is used in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list and any combination of the items in the list.

Although certain presently preferred implementations of the invention have been specifically described herein, it will be apparent to those skilled in the art to which the invention pertains that variations and modifications of the various implementations shown and described herein may be made without departing from the spirit and scope of the invention. Accordingly, it is intended that the invention be limited only to the extent required by the applicable rules of law. While the foregoing has been with reference to a particular embodiment of the disclosure, it will be appreciated by those skilled in the art that changes in this embodiment may be made without departing from the principles and spirit of the disclosure, the scope of which is defined by the appended claims.