Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
CONFORMAL THERMAL CVD WITH CONTROLLED FILM PROPERTIES AND HIGH DEPOSITION RATE
Document Type and Number:
WIPO Patent Application WO/2022/020528
Kind Code:
A1
Abstract:
Methods and apparatuses for depositing dielectric films into features on semiconductor substrates are described herein. Methods involve depositing dielectric films by using controlled thermal chemical vapor deposition, with periodic passivation operations and densification to modulate film properties.

Inventors:
GUPTA AWNISH (US)
VAN SCHRAVENDIJK BART J (US)
PASQUALE FRANK LOREN (US)
LAVOIE ADRIEN (US)
VARNELL JASON ALEXANDER (US)
RAMASAGARAM PRANEETH (US)
ABEL JOSEPH R (US)
PETRAGLIA JENNIFER LEIGH (US)
AUSTIN DUSTIN ZACHARY (US)
Application Number:
PCT/US2021/042652
Publication Date:
January 27, 2022
Filing Date:
July 21, 2021
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/02; C23C16/40; C23C16/56
Foreign References:
US9214333B12015-12-15
US20190345608A12019-11-14
US20150315704A12015-11-05
US20060046508A12006-03-02
US20200190664A12020-06-18
Attorney, Agent or Firm:
TSAI, Patricia et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A method for processing substrates in a chamber, the method comprising: providing a semiconductor substrate having a feature to a chamber; and depositing dielectric material in the feature on the semiconductor substrate by: introducing a deposition precursor and a reactant to the chamber housing the semiconductor substrate to expose the semiconductor substrate to a plasma-free environment comprising the deposition precursor and the reactant and form the dielectric material, and introducing a passivation gas to the chamber and igniting a passivation plasma in a passivation gas ambient.

2. The method of claim 1, further comprising, exposing the dielectric material to a densification plasma in the chamber generated in an inert gas ambient.

3. The method of claim 1, wherein depositing the dielectric material further comprises repeating temporally alternating between introducing the deposition precursor and the reactant in a plasma-free environment and introducing the passivation gas.

4. The method of claim 2, wherein depositing the dielectric material further comprises repeating temporally alternating between introducing the deposition precursor and the reactant in a plasma-free environment, introducing the passivation gas, and exposing the dielectric material to the densification plasma in the chamber generated in the inert gas ambient.

5. A method for processing substrates in a chamber, the method comprising: providing a semiconductor substrate having a feature to a chamber; and depositing dielectric material in the feature on the semiconductor substrate by: introducing a deposition precursor and a reactant to the chamber housing the semiconductor substrate to expose the semiconductor substrate to a plasma-free environment comprising the deposition precursor and the reactant and form the dielectric material, and exposing the dielectric material to a densification plasma in the chamber generated in an inert gas ambient.

6. The method of claim 5, further comprising, introducing a passivation gas to the chamber and igniting a passivation plasma.

7. The method of claim 5, wherein depositing the dielectric material further comprises repeating temporally alternating between introducing the deposition precursor and the reactant in a plasma-free environment and exposing the dielectric material to the densification plasma generated in the inert gas ambient.

8. An apparatus for processing a semiconductor substrate comprising a semiconductor material, the apparatus comprising: one or more process chambers, wherein at least one process chamber comprises: a showerhead; a heated pedestal for heating the semiconductor substrate to a temperature of at least about 650°C; a plasma generator capable of generating a plasma within the at least one process chamber; one or more gas sources; one or more gas inlets to deliver gas from the one or more gas sources to the one or more process chambers via the showerhead; a controller having at least one processor and a memory, whereby the at least one processor and the memory are communicatively connected with one another, the at least one processor is at least operatively connected with flow-control hardware, and the memory stores computer-executable instructions for controlling the at least one processor to at least control the flow-control hardware by: causing setting of temperature of the heated pedestal to less than about 650°C; and causing introduction of the following operations in temporally separated pulses: causing introduction of a deposition precursor and a reactant to the one or more process chambers via the showerhead; and after causing stopping of the introduction of the deposition precursor and the reactant, causing generation of a plasma when an inert gas are introduced to the one or more process chambers.

9. The apparatus of claim 8, wherein the controller instructions for causing temporally separated pulses comprise instructions for causing generation of a second plasma when a passivation gas is introduced to the one or more process chambers.

10. The apparatus of any of claims 8 or 9, wherein at least one of causing introduction of the deposition precursor and the reactant and causing generation of the plasma is performed by fast switching.

Description:
CONFORMAL THERMAL CVD WITH CONTROLLED FILM PROPERTIES AND HIGH DEPOSITION RATE

INCORPORATION BY REFERENCE

[0001] A PCT 101 Request is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request is incorporated by reference herein in their entireties and for all purposes.

BACKGROUND

[0002] Semiconductor device fabrication involves deposition of materials into large areas, such as in gapfill applications for fabrication of memory devices, including 3D-NAND devices. As devices shrink, structures for fabricating efficient and multiple memory cells are used to maximize density of memory cells in a memory device. 3D-NAND technology addresses challenges associated with two-dimensional NAND technology by stacking memory cells vertically in layers.

[0003] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

SUMMARY

[0004] One aspect involves a method for processing substrates in a chamber, the method including: providing a semiconductor substrate having a feature to a chamber; and depositing dielectric material in the feature on the semiconductor substrate by: introducing a deposition precursor and a reactant to the chamber housing the semiconductor substrate to expose the semiconductor substrate to a plasma free environment including the deposition precursor and the reactant and form the dielectric material, and introducing a passivation gas to the chamber and igniting a passivation plasma in a passivation gas ambient.

[0005] In various embodiments, the method also includes exposing the dielectric material to a densifi cation plasma in the chamber generated in an inert gas ambient. In some embodiments, depositing the dielectric material also includes repeating temporally alternating between introducing the deposition precursor and the reactant in a plasma-free environment, introducing the passivation gas, and exposing the dielectric material to the densification plasma in the chamber generated in the inert gas ambient.

[0006] In various embodiments, depositing the dielectric material also includes repeating temporally alternating between introducing the deposition precursor and the reactant in a plasma-free environment and introducing the passivation gas.

[0007] In some embodiments, the feature has a feature opening of between about 70 nm and about 5 microns. In some embodiments, the feature is at least about 6 microns deep.

[0008] In some embodiments, the method also includes changing chamber pressure to modulate thickness profile of the dielectric material.

[0009] In some embodiments, the densification plasma is generated using high frequency and low frequency plasma selected to form a concave dielectric material. In some embodiments, the densification plasma is generated in situ.

[0010] In some embodiments, the passivation plasma preferentially etches dielectric material at or near a feature opening. In some embodiments, the passivation gas is a halogen-containing gas. In some embodiments, the passivation gas is nitrogen trifluoride. In some embodiments, the passivation plasma is generated in situ.

[0011] In some embodiments, introducing the deposition precursor and the reactant and introducing the passivation gas are performed without breaking vacuum.

[0012] In some embodiments, introducing the deposition precursor and the reactant and exposing the dielectric material to the densification plasma are performed without breaking vacuum.

[0013] In some embodiments, the dielectric material is deposited at a temperature between about 400°C and about 800°C.

[0014] In some embodiments, the dielectric material includes silicon oxide. In some embodiments, the deposition precursor is an aminosilane. In some embodiments, the reactant includes an oxygen-containing gas.

[0015] In some embodiments, the feature is a re-entrant feature. In some embodiments, the feature is formed in a multi-layer stack. In some embodiments, the feature has an aspect ratio of about 0.8:1 to about 10:1.

[0016] Another aspect involves a method for processing substrates in a chamber, the method including: providing a semiconductor substrate having a feature to a chamber; and depositing dielectric material in the feature on the semiconductor substrate by: introducing a deposition precursor and a reactant to the chamber housing the semiconductor substrate to expose the semiconductor substrate to a plasma free environment including the deposition precursor and the reactant and form the dielectric material, and exposing the dielectric material to a densification plasma in the chamber generated in an inert gas ambient.

[0017] In various embodiments, the method also includes introducing a passivation gas to the chamber and igniting a passivation plasma.

[0018] In various embodiments, depositing the dielectric material also includes repeating temporally alternating between introducing the deposition precursor and the reactant in a plasma-free environment and exposing the dielectric material to the densification plasma generated in the inert gas ambient.

[0019] In some embodiments, the feature has a feature opening of between about 70 nm and about 5 microns. In some embodiments, the feature is at least about 6 microns deep.

[0020] In some embodiments, the method also includes changing chamber pressure to modulate thickness profile of the dielectric material.

[0021] In some embodiments, the densification plasma is generated using high frequency and low frequency plasma selected to form a concave dielectric material. In some embodiments, the densification plasma is generated in situ.

[0022] In some embodiments, the passivation plasma preferentially etches dielectric material at or near a feature opening. In some embodiments, the passivation gas is a halogen-containing gas. In some embodiments, the passivation gas is nitrogen trifluoride. In some embodiments, the passivation plasma is generated in situ.

[0023] In some embodiments, introducing the deposition precursor and the reactant and introducing the passivation gas are performed without breaking vacuum.

[0024] In some embodiments, introducing the deposition precursor and the reactant and exposing the dielectric material to the densification plasma are performed without breaking vacuum.

[0025] In some embodiments, the dielectric material is deposited at a temperature between about 400°C and about 800°C.

[0026] In some embodiments, the dielectric material includes silicon oxide. In some embodiments, the deposition precursor is an aminosilane. In some embodiments, the reactant includes an oxygen-containing gas.

[0027] In some embodiments, the feature is a re-entrant feature. In some embodiments, the feature is formed in a multi-layer stack. In some embodiments, the feature has an aspect ratio of about 0.8:1 to about 10:1.

[0028] Another aspect involves an apparatus for processing a semiconductor substrate including a semiconductor material, the apparatus including: one or more process chambers, whereby at least one process chamber includes a showerhead, a heated pedestal for heating the semiconductor substrate to a temperature of at least about 650°C; a plasma generator capable of generating a plasma within the at least one process chamber; one or more gas sources; one or more gas inlets to deliver gas from the one or more gas sources to the one or more process chambers via the showerhead; a controller having at least one processor and a memory, whereby the at least one processor and the memory are communicatively connected with one another, the at least one processor is at least operatively connected with flow-control hardware, and the memory stores computer-executable instructions for controlling the at least one processor to at least control the flow-control hardware by: causing setting of temperature of the heated pedestal to less than about 650°C; and causing introduction of the following operations in temporally separated pulses: causing introduction of a deposition precursor and a reactant to the one or more process chambers via the showerhead; and after causing stopping of the introduction of the deposition precursor and the reactant, causing generation of a plasma when an inert gas are introduced to the one or more process chambers.

[0029] In various embodiments, the controller instructions for causing temporally separated pulses include instructions for causing generation of a second plasma when a passivation gas is introduced to the one or more process chambers.

[0030] In various embodiments, the temporally separated pulses are performed without breaking vacuum.

[0031] In various embodiments, the temporally separated pulses are performed in the same process chamber.

[0032] In various embodiments, at least one of causing introduction of the deposition precursor and the reactant and causing generation of the plasma is performed by fast switching. [0033] These and other features will be described below with reference to the associated drawings.

BRIEF DESCRIPTION OF THE DRAWINGS [0034] Figure 1 is a process flow diagram depicting operations for a method.

[0035] Figures 2, 3, and 4A are schematic illustrations of a substrate in a patterning scheme. [0036] Figure 4B is a half view of the substrate in Figure 4A.

[0037] Figures 5A, 6A, 7A, 8, and 9 are schematic illustrations of a substrate in a patterning scheme.

[0038] Figures 5B, 6B, and 7B are side views of schematic illustrations of substrates depicted in Figures 5A, 6A, and 7A, respectively.

[0039] Figures 10 A, 10B, IOC, 10D, 10E, 10F, lOG-1, lOH-1, and 101-1 are cross-sectional views of substrates having various feature opening types and sidewall features.

[0040] Figures 10G-2, 10G-3a, 10G-3b 10G-4, 10G-5, 1 OH-2, 10H-3a, 10H-3b, 1 OH-4, 1 OH- 5, 101-2, 10I-3a, 10I-3b, 101-4, and 101-5 are cross-sectional views of substrates filled using certain disclosed embodiments.

[0041] Figure 11 is a process flow diagram depicting operations for a method performed in accordance with certain disclosed embodiments.

[0042] Figure 12 is a timing sequence diagram showing an example of cycles in a method in accordance with certain disclosed embodiments.

[0043] Figure 13 is a schematic diagram of an example process chamber for performing disclosed embodiments.

[0044] Figure 14 is a schematic diagram of an example process tool for performing disclosed embodiments.

[0045] Figures 15-17 are charts from experiments conducted using processes in accordance with certain disclosed embodiments.

DETAILED DESCRIPTION

[0046] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

[0047] Semiconductor fabrication processes involve deposition of dielectric material. Dielectric material may include silicon oxide material in various embodiments. Dielectric material may be deposited onto a variety of topography on a partially fabrication semiconductor device, such as features, gaps, and other areas. The term “feature” used herein refers to negative features, which have a feature opening. Example features include large areas, gaps, trenches, and other holes in a partially fabricated semiconductor device. Dielectric material may be deposited to form pillars, to fill high aspect ratio features, to cover large areas of a substrate, or fill other regions of a partially fabricated semiconductor device.

[0048] High aspect ratio features may include features having an aspect ratio of at least about 3:1 or at least about 1:5 or at least about 1:7 or at least about 1:10 or at least about 1:20 or at least about 1 :50 or greater or at least about 1 :200 or greater. Material deposited into large areas may be referred to as “large area gapfill” (LAG) applications. Large areas may be defined as areas wide feature openings and a low aspect ratio, such as having an aspect ratio of about 0.8:1 and about 10:1. Some large features may have a feature opening of between about 70 nm and about 5 microns, or between about 70 nm and about 5 microns, or between about 70 nm and about 500 nm, or about 2 microns, or at least about 2 microns. In some embodiments, large areas may have a depth of at least about 6 microns or more or at least about 10 microns or more.

[0049] Some LAG applications involve deposition over a staircase structure, such as a structure used to form a 3D-NAND structure that may be present on a partially fabricated semiconductor device. Some embodiments described herein may be applicable to 3D-NAND structures having at least about 96 pairs or at least about 128 pairs or greater. One example technique for forming 3D-NAND structures is described below with respect to Figures 1-9. [0050] Figure 1 shows a process flow diagram of operations performed in accordance with a method for forming a 3D-NAND structure. In operation 182, a substrate is provided. In various embodiments, the substrate is a semiconductor substrate. An example substrate 100 is provided as a schematic illustration in Figure 2. Returning to Figure 1, in operation 184, a film stack of alternating oxide and nitride films is deposited on the substrate. This may be referred to as an “ONON” stack (as O refers to oxide and N refers to nitride). While oxide and nitride are depicted, it will be understood that other combinations of films may be used for certain embodiments. In some embodiments, the oxide layer deposited is a silicon oxide layer. In some embodiments, the nitride layer deposited is a silicon nitride layer. Each oxide and nitride layer may be deposited to about the same thickness, such as about 10 nm to about 100 nm, or about 350A in some embodiments. The oxide layers may be deposited at a deposition temperature of about room temperature to about 800°C. Oxide and nitride layers for forming the alternating oxide and nitride film stack may be deposited using any suitable technique, such as atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or sputtering. In various embodiments, the oxide and nitride layers are deposited by PECVD. The film stack may include at least about 48 pairs or at least about 512 pairs or at least about 600 pairs or more of alternating oxide and nitride layers, whereby each oxide or nitride layer constitutes one pair.

[0051] Figure 3 shows an example schematic illustration of a substrate 100 with alternating oxide 101 and nitride 102 films deposited on the substrate 100. Note that while the structure shown in Figure 3 shows an oxide 101 deposited first, followed by nitride 102, oxide 101, nitride 102, etc., nitride 102 may be deposited first, followed by oxide 101, nitride 102, oxide 101, etc. Following deposition of the ONON stack, channels (not shown in Figure 3) may be etched in the ONON stack. Returning to Figure 1, in operation 186, a staircase pattern is formed on the substrate. A “staircase pattern” as referred to herein includes two or more steps, each step including an oxide and a nitride layer. It will be understood that the top layer of each set of oxide and nitride layers may be either an oxide or a nitride for formation of steps in a staircase pattern. The staircase pattern may be formed using a variety of patterning techniques. For example, one technique may include depositing a sacrificial layer over the substrate and masking regions of the substrate to etch each set of oxide and nitride layers to form the staircase.

[0052] Figure 4A provides an example of a substrate 100 including a staircase pattern of oxide 111 and nitride 112 layers with a hardmask 110 over the topmost nitride 112 layer. Although Figure 4A shows four steps of a staircase pattern, it will be understood that a staircase pattern may include multiple decks, each of which have multiple steps. The number of steps in each deck, and in the overall staircase pattern, varies from application to application. In some embodiments, a staircase pattern has about 24 to about 256 steps. In some embodiments, the staircase pattern has over 256 steps. The number of steps in a staircase affects the depth of the ONON stack; the greater the number of steps, the deeper the ONON stack. Each step includes a nitride 112 and oxide 111 layer, and distance d as shown in Figure 4A may be about 150 nm to about 1000 nm in some embodiments, such as about 500 nm. This region of each step extending out from the edge of the step above it may be referred to as a “pad” having a surface distance d.

[0053] For purposes of discussion, the following discussion and subsequent schematic illustrations of the substrate will include a half view 199 as shown in Figure 4B.

[0054] In operation 188 of Figure 1, oxide is deposited over the substrate. This oxide may be deposited over a large area, effectively depositing into a large “feature.” In various embodiments, the oxide may be the same composition as the oxide deposited in layers of the ONON stack. In various embodiments, the oxide deposited over the substrate is deposited at a different deposition temperature than the deposition temperature used for depositing the oxide layers in the ONON stack. The deposition temperature of depositing oxide over large features may be about 400°C to about 800°, or about 500°C to about 650°C, or at least about 550°C, or about 600°C to about 650°C. Vertical slits may be subsequently etched into the ONON stack and in some embodiments, the staircase fill, after depositing oxide.

[0055] Figure 5 A shows an example substrate 100 including the ONON staircase, hardmask 110, and oxide 122 deposited over the substrate. Figure 5B shows a side view of the substrate 100 after vertical slits 130 are etched.

[0056] In operation 190, nitride is selectively etched relative to oxide on the substrate. Figure 6A shows an example schematic illustration of a substrate 100 with horizontal gaps 132 formed from etching nitride. Figure 6B shows a side view of a cross-section of the substrate whereby gaps 132 are formed from selectively etching nitride.

[0057] In operation 192, tungsten is deposited into the gaps of the substrate to form tungsten wordlines. Although tungsten is depicted in this example it will be understood that other metals could be used. Tungsten may be deposited by any suitable technique, such as ALD, CVD, PEALD, and/or PECVD. In some embodiments, a barrier layer and/or a tungsten nucleation layer is deposited prior to depositing bulk tungsten. Figure 7A shows an example of a substrate 100 including deposited tungsten wordlines 140. Figure 7B shows a schematic illustration of the substrate in 7A at a cross-section from a side view with tungsten 140 deposited in the gaps where nitride was previously.

[0058] Returning to Figure 1, in operation 194, the oxide is vertically etched to form vias. The oxide may be etched by dry etching using exposure to one or more of the following gases: O2, Ar, hexafluoro-1, 3-butadiene (C4F6), octafluorocyclobutane (C4F8), SF6, trifluoromethane (CHF3), and CF4. Figure 8 shows an example substrate 100 including the ONON stack in a staircase pahem whereby vias 137 are etched in the oxide 122.

[0059] In Figure 1, in operation 196, tungsten is deposited in the vias to form interconnects to the tungsten wordlines. An example is provided in Figure 9 showing tungsten 142 deposited into the vias. Vias vary in depth and may have a depth of at least about 1 micron, or about 1 micron to about 50 microns. The depth varies and scales with the number of steps. Shallow vias may be defined as having a depth less than 3.0 microns, such as between about 1.5 microns and 3.0 microns. Deep vias may have a depth greater than 3.0 microns. The critical dimension of vias formed in the oxide may be between about 50 nm and about 500 nm. Vias may be etched using a dry etch process which may involve masking operations to pahem the oxide. As noted above, during operation 188, when the oxide is deposited over the substrate, this process can be considered a LAG application.

[0060] Current gapfill deposition in large areas may involve a sub-atmospheric chemical vapor deposition process, PECVD tetraethyl orthosilicate (TEOS) deposition process, or an atomic layer deposition (ALD) process. However, S ACVD results in a tensile film that is prone to cracking and may be unable to fill reentrant features. It may be challenging to use SACVD to fill features having an aspect ratio of more than about 6: 1. Low density films tends to shrink due to thermal treatment and may have more tensile stress. It is generally believed that tensile stress is used for crack propagation and shrinkage provides a driving force for cracking. PECVD TEOS can fill features having an aspect ratio of up to about 0.8:1. While PECVD TEOS does not result in cracking when deposited with enough compressive stress, there are limitations to its application. Some processes involve fabrication of 3D-NAND structures that have features with aspect ratios of about 5:1 or larger, but SACVD and PECVD TEOS may not necessarily be suitable for deposition in such large features. Additionally, while ALD may be used to deposit highly conformal films with good step coverage, ALD can take a long time to deposit into very large features, making the deposition process more expensive. There are challenges to depositing material into large area gaps as well as gaps generally in semiconductor device fabrication. While high density plasma chemical vapor deposition (HDP CVD) may be used, utilizing this process for low aspect ratio gaps can be expensive due to the bottom-up fill nature of the deposition process, thereby depositing an overburden that is as high as the depth of the gap, which can be very large. This overburden would be polished away, which increases cost. In particular when the size of the features is large, this cost increase can be a considerable challenge to overcome. While flowable techniques could be used, they may not necessarily be used for wider features due to their tendency to have high shrinkage and integration challenges involving adding multiple steps to the process scheme. While atomic layer etching could be used for high aspect ratio fill, the process can become expensive for filling features having a larger critical dimension.

[0061] Provided herein are methods of depositing dielectric materials by thermal CVD in combination with densification and passivation operations. Certain disclosed embodiments involve performing thermal CVD with a densification operation, or thermal CVD with a passivation operation, or thermal CVD with both a post-deposition densification operation and a passivation operation. Passivation and/or densification operations may be performed periodically. Certain disclosed embodiments involve cycling between thermal CVD, densification, and passivation using a plasma. Certain disclosed embodiments can be used to fill an entire structure, partially fill a structure, deposit material after liner deposition, or at any other portion of a semiconductor substrate processing scheme. Periodic passivation in combination with thermal CVD may also be referred to as “dep-etch-dep,” where passivation constitutes “etch” and thermal CVD constitutes “dep,” although passivation may not necessarily involve etching. In some embodiments, passivation reduces nucleation rate or reduces deposition rate of material on a surface without etching the surface.

[0062] In some embodiments, dielectric material deposited using certain disclosed embodiments have improved wet etch rate. Some films deposited using certain disclosed embodiments may be conformal and/or may have high step coverage. Conformality of films may be measured by the step coverage. “Step coverage” as used herein is calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature and multiplying it by 100 to obtain a percentage. Disclosed embodiments may deposit films having a step coverage of at least about 50%, or at least about 95%, or about 100%, or 100%. In some embodiments, features are completely filled using certain disclosed embodiments alone or in combination with thermal CVD without passivation and/or densification.

[0063] Certain disclosed embodiments can be used to deposit dielectric materials into large area, in reentrant features, in high aspect ratio features, and more. In various embodiments, the dielectric material is silicon oxide. While silicon oxide is described herein as an example, it will be understood that many other materials may be deposited using certain disclosed embodiments with advantages for controlling deposition and depositing materials into features of various shapes, reentrant features, widths, and depths. Other materials include but are not limited to metal oxides, semiconductor oxides, nitrides, carbides, and doped variants thereof including doped oxides.

[0064] Certain disclosed embodiments are applicable for depositing materials in a wide variety of features. Figures 10A-10E, lOG-1, lOH-1, and 101-1 show examples of feature shapes and reentrant features. Figures 10F, 10G-2 through 10G-4, 10H-2 through 1 OH-4, and 10H-2 through 10H-4 show examples of dielectric material partially deposited into various feature shapes. Figures 10G-5, 10H-5, and 101-5 show examples of dielectric material deposited into various feature shapes.

[0065] Figure 10A shows an example feature 1002a having a multi-layer stack including a first composition 1004a and a second composition 1014a arranged in alternating horizontal layers, where feature 1002a is defined by etching a hole into the multi-layer stack and lining the hole with liner 1006a. Feature 1002a has vertical sidewalls that are substantially perpendicular to the top field region of the substrate such that the feature opening at or near the feature opening is about the same as the width of the feature 1002a at the bottom of the feature 1002a with little to no stubs on the sidewalls of the feature 1002a. Note that while a liner 1006a is depicted in various examples described herein, in some embodiments a liner is not present. While the first composition 1004a and the second composition 1014a are shown, it will be understood that more or fewer compositions and the variation in layers of compositions may vary. Additional layers and materials may also be present on the substrate. Where a liner 1006a is present, the liner 1006a may be a nitride material, such as but not limited to silicon nitride, or in some embodiments, the liner 1006a may be a poly-silicon material.

[0066] Figure 10B shows an example feature 1002b defined by etching a hole in a material 1004b and lining with liner 1006b. Feature 100b has straight vertical sidewalls with little to no stubs on the sidewalls. Note that while a liner 1006b is depicted in various examples described herein, in some embodiments a liner is not present. Additional layers and materials may also be present on the substrate. Where a liner 1006b is present, the liner 1006b may be a nitride material, such as but not limited to silicon nitride, or in some embodiments, the liner 1006b may be a poly-silicon material.

[0067] Figure IOC shows an example feature 1002c defined by etching a hole in material 1004c and lining with a liner 1006c such that the feature 1002c has positively sloped sidewalls where the width of the feature opening 1020c at the top of the feature 1002c is greater than the width between sidewalls at the bottom 1012c. Note that while a liner 1006c is depicted in various examples described herein, in some embodiments a liner is not present. Additional layers and materials may also be present on the substrate. Where a liner 1006c is present, the liner 1006c may be a nitride material, such as but not limited to silicon nitride, or in some embodiments, the liner 1006c may be a poly-silicon material.

[0068] Figure 10D shows an example feature 1002d defined by etching a hole in a material 1004d and lining with a liner 1006d such that the feature 1002d has negatively sloped sidewalls, where the feature opening 1020d at the top of the feature 1002d is narrower than the width between sidewalls at the bottom 1012d. In some cases, horizontal thicknesses of the liner 1006d may be at least as thick as the maximum sidewall thickness. Note that while a liner 1006d is depicted in various examples described herein, in some embodiments a liner is not present. Additional layers and materials may also be present on the substrate. Where a liner 1006d is present, the liner 1006d may be a nitride material, such as but not limited to silicon nitride, or in some embodiments, the liner 1006d may be a poly-silicon material.

[0069] Figure 10E shows an example feature 1002e defined by etching a hole in a material 1004e and lining with a liner 1006e such that the feature has a sidewall stubs 1016e. In some cases, a feature can have sidewall stubs due to the type of etching performed to form the feature, or the one or more materials in which the feature is formed. Gapfill of features such as these examples shown in Figures 10A-10E is dependent on the feature type and profile.

[0070] Figure 10F shows an example substrate having two features 1002f defined by etching holes in a multi-layer stack of having alternating layers of a first composition 1004f and a second composition 1014f and lining with conformal liner 1006f. In some embodiments, the multi-layer stack may have more layers than shown in Figure 10F. In some embodiments, the multi-layer stack may have a top deck and a bottom deck. In some embodiments the multi layer stack may have dual decks. In some embodiments the multi-layer stack may have multiple decks. A deck may refer to a set of more than one layer of a multi-layer stack material. This example shows partially deposited dielectric material 1099f Sometimes, deposition of dielectric material 1099f results in uneven deposition on sidewalls of the feature, which can cause formation of gaps or seams in the feature. Certain disclosed embodiments are capable of addressing such concerns and can adequately fill such features.

[0071] Figures lOG-1 through 101-5 show examples of substrates with features that may be deposited with gapfill material using certain disclosed embodiments. Figure lOG-1 shows features 1002g defined by etching holes in material 1004g whereby features 1002g include divots 1016g in the sidewalls of the features 1002g. This is an example of features that may have critical dimension bowing. Using certain disclosed thermal CVD operations and optional densification, the feature may be filled and result in a structure such as shown in the example in Figure 10G-2, having dielectric material 1008g-a deposited in the features and resulting in small gaps. The formation of gaps can be reduced by stopping thermal CVD, performing a passivation operation, and then subsequently depositing more dielectric material by thermal CVD, and optionally repeating passivation and deposition in cycles. Partial fill from stopping thermal CVD may result in a partially filled substrate such as shown in Figure 10G-3a, whereby features 1002g are partially filled with dielectric material 1018g-a. Cycles of deposition and passivation may be performed, such as shown in the example of the partially filled substrate depicted in Figure 10G-4 having dielectric material 1028g-a deposited thereon. After several cycles of deposition and passivation, or deposition only without passivation when a particular amount of material has been sufficiently deposited in the feature, the features are filled with gap-free and in some embodiments, seam-free fill, such as shown in Figure 10G-5 having dielectric material 1038g-a deposited therein. Note that in some embodiments, performing cycles of passivation and deposition on the substrate from the beginning of filling in the features, instead of partially depositing with thermal CVD first before cycling between passivation and deposition, can result in a partially filled substrate such as shown in Figure 10G-3b whereby dielectric material 1018g-b is deposited in the features.

[0072] Figure lOH-1 shows features 1002h defined by etching holes in material 1004h whereby features 1002h include divots 1016h in the sidewalls of the features 1002h. This is an example of features having top reentrancy. Using certain disclosed thermal CVD operations and optional densification, the feature may be filled and result in a structure such as shown in the example in Figure 1 OH-2, having dielectric material 1008h-a deposited in the features and resulting in elongated gaps. The formation of gaps can be reduced by stopping thermal CVD, performing a passivation operation, and then subsequently depositing more dielectric material by thermal CVD, and optionally repeating passivation and deposition in cycles. Partial fill from stopping thermal CVD may result in a partially filled substrate such as shown in Figure 10H-3a, whereby features 1002h are partially filled with dielectric material 1018h-a. Cycles of deposition and passivation may be performed, resulting in the example of the partially filled substrate depicted in Figure 10H-4 having dielectric material 1028h-a deposited thereon. After several cycles of deposition and passivation, or deposition only without passivation when a particular amount of material has been sufficiently deposited in the feature, the features are filled with gap-free and in some embodiments, seam-free fill, such as shown in Figure 10H-5 having dielectric material 1038h-a deposited therein. Note that in some embodiments, performing cycles of passivation and deposition on the substrate from the beginning of filling in the features, instead of partially depositing with thermal CVD first before cycling between passivation and deposition, can result in a partially filled substrate such as shown in Figure 10H-3b whereby dielectric material 1018h-b is deposited in the features.

[0073] Figure 101-1 shows features 1002i defined by etching holes in material 1004i whereby features 1002i include widened bottoms 1016i in the features 1002i. This is an example of features having deep reentrancy. Using certain disclosed thermal CVD operations and optional densification, the feature may be filled and result in a structure such as shown in the example in Figure 101-2, having dielectric material 1008i-a deposited in the features and resulting in elongated gaps. The formation of gaps can be reduced by stopping thermal CVD, performing a passivation operation, and then subsequently depositing more dielectric material by thermal CVD, and optionally repeating passivation and deposition in cycles. Partial fill from stopping thermal CVD may result in a partially filled substrate such as shown in Figure 10I-3a, whereby features 1002i are partially filled with dielectric material 1018i-a. Cycles of deposition and passivation may be performed, resulting in the example of the partially filled substrate depicted in Figure 101-4 having dielectric material 1028i-a deposited thereon. After several cycles of deposition and passivation, or deposition only without passivation when a particular amount of material has been sufficiently deposited in the feature, the features are filled with gap-free and in some embodiments, seam-free fill, such as shown in Figure 101-5 having dielectric material 1038i-a deposited therein. Note that in some embodiments, performing cycles of passivation and deposition on the substrate from the beginning of filling in the features, instead of partially depositing with thermal CVD first before cycling between passivation and deposition, can result in a partially filled substrate such as shown in Figure 10I-3b whereby dielectric material 1018i-b is deposited in the features.

[0074] Figure 11 provides a process flow diagram depicting operations that may be performed in accordance with certain disclosed embodiments. Operations in Figure 11 may be performed in any order and some operations may be omitted. In some embodiments, operations may be performed in cycles. Non-limiting examples of cycles include the following: (1) operation 1106, then operation 1108, then operation 1112; (2) operation 1108, then operation 1106, then operation 1112; and (3) operation 1108, then operation 1112, then operation 1106. Additionally, operation 1108 may be performed for longer durations after passivation and/or densification, without periodic passivation or densification.

[0075] Process conditions can affect the deposition rate of the dielectric material. For example, chamber pressure may be modulated to affect the deposition rate of the dielectric material deposited into the large gap. For example, as chamber pressure increase, deposition rate of the dielectric material increases. Fast deposition may involve increasing the chamber pressure such that the chamber pressure may be at least about 10 Torr, or at least about 15 Torr, or at least about 20 Torr. Chamber pressure for operations 1102-1118 may be at least about 10 Torr, or at least about 15 Torr, or at least about 20 Torr. In some embodiments, chamber pressure is about 0.1 Torr to about 30 Torr. Chamber pressure may be modified between operations and may be different or the same in any operation in Figure 11, such as in operation 1010. In some embodiments, chamber pressure is maintained constant throughout operations 1102-1118. In some embodiments, the chamber pressure is the same during operation 1105 and 1106.

[0076] In operation 1102, a substrate is provided to a process chamber. The substrate may have a feature. The feature may be a large gap which may have an aspect ratio of at least about 5:1 and may have a depth of at least about 10 microns. The feature may have a feature opening of up to about 5000 nm, up to about 2500 nm, between about 70 nm and about 5 microns, or between about 70 nm and about 5 microns, or between about 70 nm and about 500 nm, or between about 5 nm to 500 nm, or between about 25 nm and about 300 nm, or about 2 microns, or at least about 2 microns. [0077] In some embodiments, the feature may have an aspect ratio of at least about 2:1, at least about 3 : 1 , at least about 4: 1 , at least about 6: 1 , at least about 10: 1 , or higher. A via, trench, or other recessed feature may be referred to as an unfilled feature or a feature.

[0078] In some embodiments, the substrate includes a partially fabricated structure, such as a partially fabricated 3D-NAND structure having a staircase topography. In some embodiments, the substrate includes a deep multi-layer stack with one or more features etched therein. In some embodiments, the substrate includes a top deck stack with a feature etched therein. In some embodiments, the substrate includes a dual deck stack with a feature etched therein. In some embodiments, the substrate includes a bottom deck stack with a feature etched therein. In some embodiments, the substrate may have a structure such as that shown in Figures 4 A, 4B, 10 A, 10B, IOC, 10D, 10E, 10F, lOG-1, lOH-1, and 101-1.

[0079] The substrate may be provided to a process chamber equipped to generate a plasma. The substrate may be provided to a process chamber without a plasma generator. The process chamber may include a heated pedestal for holding the semiconductor substrate and may include a showerhead for delivering one or more gases to a processing environment within the process chamber such that the processing environment is between the showerhead and the substrate on the pedestal during processing in the chamber. Further examples of features of the process chamber are described below with respect to Figures 13 and 14.

[0080] In an optional operation 1104, an inert gas may be introduced to stabilize the temperature of the substrate prior to deposition. In various embodiments, the inert gas may be any of helium, argon, nitrogen, oxygen, nitrous oxide, hydrogen, and combinations thereof. The inert gas may be continuously flowed during all, any, most, or at least one of operations 1106, 1108, 1110, 1112, and 1114. In some embodiments, the inert gas is continuously flowed throughout all of operations 1106, 1108, 1110, 1112, and 1114. In some embodiments involving dep-etch-dep, the inert gas is also flowed during an etching operation. In some embodiments, the inert gas may also be used as a carrier gas to deliver gases to the process chamber. In some embodiments, the inert gas may also be used as a purge gas to remove excess byproducts or gases between particular operations. In some embodiments, the inert gas may include one or more gases that are used during operation 1106, 1108, 1110, 1112, and/or 1114. In some embodiments, one or more gases used in the inert gas may be used in operation 1106, 1112, 1114, and/or 1116. In some embodiments, the inert gas is the same as one or more gases used in operation 1106, 1108, 1110, 1112, and/or 1114. In some embodiments, the inert gas is different from one or more gases used in operation 1106, 1108, 1110, 1112, and/or 1114. [0081] In various embodiments, during operation 1104, a inert gas is delivered and the pedestal temperature is set to a desired temperature to heat the substrate. The inert gas may be selected to facilitate heating of the substrate. For example, the pedestal may be set to a temperature to heat the substrate to a temperature or at least about 550°C, or at least about 650°C. Substrate temperature affects the deposition rate of the dielectric material. Deposition rates can vary depending on the relative gas flows. In one non-limiting example, at a temperature of about 550°C, little to no deposition may occur, while at a deposition temperature of about 650°C, a deposition rate of at least about 2 A/s, or at least about 10 A/s can be achieved, or at least about 20 A/s can be achieved.

[0082] Deposition temperature may also be selected depending on the particular application, the particular gases selected, as well as the presence of other materials on the substrate so as not to degrade or damage materials on the substrate. For some logic applications, the substrate may withstand a temperature of up to about 650°C. For some memory applications, the substrate may withstand a temperature of up to about 650°C.

[0083] In operation 1106, a passivation gas and/or plasma is introduced to the process chamber. In some embodiments, a liner may be deposited m situ prior to igniting the passivation gas. In various embodiments, a passivation gas is used. In various embodiments, a passivation gas is ignited with a plasma and introduced to the chamber from a remote plasma chamber or generated in the chamber. The passivation gas composition depends on the material to be deposited. In some embodiments, for deposition of dielectric material, the passivation gas is a halogen-containing gas. In some embodiments, the passivation gas is a fluorine- containing gas. Non-limiting examples of gases that may be used for inhibiting deposition include nitrogen trifluoride (NF3) and nitrogen (N2). Plasma etching and/or passivation plasma operations may involve flowing NF3 and igniting a plasma. “Directional” or “preferential” as used herein may be defined as etching more material at or near the top of the feature than in the rest of the feature, such as inside or interior of the feature. Operation 1106 may be used to preferentially passivate the feature openings. Operation 1106 may be used to preferentially etch material at or near the feature openings. In some embodiments, preferential etching may be performed by etching material deposited at or near the feature openings, followed by depositing additional material, which results in a higher nucleation rate in the interior of the feature than at the feature openings. In some embodiments, preferential passivation may be performed by passivating surfaces using an passivation gas and/or plasma to reduce deposition and/or nucleation rate of material to be deposited at or near the feature openings, followed by depositing additional material, which results in a higher nucleation rate in the interior of the feature than at the feature openings. [0084] Both gas flow and passivation exposure duration in operation 1106 may be modulated to increase tunability of the deposited film. The greater the gas flow, the more likely the passivation gas may exhibit etching characteristics which can, in some embodiments, help open a feature opening or reduce gaps of a partially filled feature to allow bottom up deposition. The flow rate may be modulated to deposit material to achieve fill in various features. For example, deposition of material at the bottom, top, or all of a feature of a multi-layer stack, or a dual deck substrate, may be modulated by varying the flow rate of the passivation gas during passivation. In some embodiments, for a 4-station chamber, the passivation gas may be flowed at a flow rate about 6000 seem or less, or about 5 seem to about 6 slm, or about 200 seem to about 5000 seem, or about 400 seem to about 1000 seem. In some embodiments, the passivation gas is diluted. For example, about 10 seem to about 30 seem of passivation gas may be diluted in a total flow of gases of about 120 slm to about 160 slm. The percentage of flow of the passivation gas in the total flow of all gases may be about 0.001% to about 0.003%. [0085] During operation 1106, one or more other added gases may also be flowed. Example added gases include inert gases such as hydrogen, nitrogen, argon, helium, oxygen, and combinations thereof. In some embodiments, nitrogen may be flowed at a flow rate for a 4- station chamber of about 1000 seem to about 40 slm, or about 25 slm to about 45 slm. In some embodiments, hydrogen may be flowed at a flow rate for a 4-station chamber of about 0 slm to about 5 slm. In some embodiments, argon may be flowed at a flow rate for a 4-station chamber of about 25 slm to about 45 slm. In some embodiments, oxygen may be flowed at a flow rate for a 4-station chamber of about 0 slm to about 5 slm. In some embodiments, helium may be flowed at a flow rate for a 4-station chamber of about 0 slm to about 20 slm.

[0086] Pedestal temperature during operation 1106 may be about 400°C to about 800°C. Pressure may be between about 0 Torr to about 100 Torr.

[0087] In various embodiments, a plasma is ignited during operation 1106. The plasma is generated by igniting the passivation gas. The plasma may be generated remotely or in situ. In some embodiments, a combination of low frequency (LF) and high frequency (HF) plasma is used to generate a plasma for which one can modulate characteristics of the deposited film by affecting the level of passivation of a substrate surface during operation 1106. The plasma may be generated with a radio frequency plasma power of about 0W to about 6000W for high frequency plasma, and about 0W to about 5000W for low frequency plasma. Plasma power may be about 250 W to about 10000 W, or about 5500 W. Operation 1106 may be performed for a duration between about 0.1 seconds to about 500 seconds or more. The duration depends on the film being deposited, the deposition conditions and passivation process conditions, and the feature characteristics (e.g., feature width, feature depth, etc.) In general, longer exposures to plasma can be used in conjunction with longer deposition (e.g., longer durations of operation 1108).

[0088] If HF and LF plasmas are used, then the HF power may be about 0W to about 10000W, or about 0W to about 6000W, at a frequency of 13.6 MHz and LF power may be about 0W to about 5000W, or about 0W to about 3000W, at a frequency of about 430 MHz. If a single-frequency plasma is used, HF is used at a frequency of 13.6 MHz with HF power up to about 6000W.

[0089] Other tunable characteristics of operation 1106 include but are not limited to chamber pressure, pedestal temperature, gas flow dilution amount, plasma power, and plasma frequency. Passivation in operation 1106 may be referred to as an “etch” operation in a dep-etch-dep process where dep refers to operation 1108. Variations of dep-etch-dep may be used, such as performing alternating cycles of deposition and passivation; performing cycles including deposition, then passivation, then deposition, then passivation, then deposition followed by only deposition without passivation; and performing deposition, followed by multiple cycles of alternating cycles of passivation and deposition. Variations of dep-etch-dep may be used to reduce overhang caused by deposition or by the underlying structure. Dep-etch-dep may be used for depositing material into reentrant features. Dep-etch-dep may be used to deposit materials into features to slope the sidewalls to reduce reentrancy. In some embodiments, operation 1106 is omitted.

[0090] In some embodiments, after operation 1106, a purge operation (not shown) is performed. In some embodiments, before operation 1108, a purge operation (not shown) is performed.

[0091] In operation 1108, the substrate is exposed to a deposition precursor and reactant to deposit a dielectric material on the substrate surface. In operation 1108, a deposition precursor and reactant may be flowed simultaneously and continuously to the process chamber housing the substrate. The surface on the substrate that is exposed to the deposition precursor and reactant depends on the particular application of certain disclosed embodiments. In some embodiments, the surface includes silicon, poly-silicon, amorphous silicon, silicon dioxide, silicon nitride, silicon carboxide, silicon carbonitride, other materials, and combinations thereof.

[0092] The deposition precursor may be any Group IV-containing precursor, such as a silicon-containing precursor. In some embodiments, the deposition precursor may be a germanium-containing precursor. In some embodiments, hydrogen gas is co-flowed to the chamber in addition to the deposition precursor and reactant. In various embodiments, the deposition precursor is an aminosilane.

[0093] The deposition precursor is selected based on the material to be deposited. For example, for deposition of silicon oxide, a silicon-containing precursor may be selected. Example silicon-containing precursors include silicon-containing precursors having the structure: where Ri, R2, and R3 may be the same or different substituents, and may include silanes, amines, halides, hydrogen, or organic groups, such as alkylamines, alkoxy, alkyl, alkenyl, alkynyl, and aromatic groups.

[0094] Example silicon-containing precursors include polysilanes (EES SiEh SiEb), where n > 1, such as silane, disilane, trisilane, tetrasilane; and trisilylamine: silane disilane trisilane tetrasilane trisilylamine

[0095] In some embodiments, the silicon-containing precursor is an alkoxysilane. Alkoxysilanes that may be used include, but are not limited to, the following:

H x -Si-(OR)y where x = 1-3, x+y = 4 and R is a substituted or unsubstituted alkyl group; and

Hx(RO)y-Si-Si-(OR) y Hx where x = 1-2, x+y = 3 and R is a substituted or unsubstituted alkyl group.

[0096] Examples of silicon-containing precursors include: tetraethyl orthosilicate (TEOS), TMOS (tetramethoxysilane), octamethylcyclotetrasiloxane (OMCTS); methylsilane; trimethylsilane (3MS); ethylsilane; butasilanes; pentasilanes; octasilanes; heptasilane; hexasilane; cyclobutasilane; cycloheptasilane; cyclohexasilane; cyclooctasilane; cyclopentasilane; l,4-dioxa-2,3,5,6-tetrasilacyclohexane; diethoxymethylsilane (DEMS); diethoxysilane (DES); dimethoxymethylsilane; dimethoxysilane (DMOS); methyl-diethoxysilane (MDES); methyl-dimethoxysilane (MDMS); octamethoxydodecasiloxane (OMODDS); tert-butoxydisilane; tetramethylcyclotetrasiloxane (TMCTS); tetraoxymethylcyclotetrasiloxane (TOMCTS); triethoxysilane (TES); triethoxysiloxane (TRIES); and trimethoxysilane (TMS or TriMOS).

[0097] As noted above, in some embodiments, the silicon-containing precursor may be an aminosilane, with hydrogen atoms, such as bisdiethylaminosilane, diisopropylaminosilane, tert-butylamino silane (BTBAS), or tris(dimethylamino)silane (3DMAS). Aminosilane precursors include, but are not limited to, the following: H x -Si-(NR) y where x = 1-3, x + y = 4 and R is an organic or hydride group.

[0098] In some embodiments, a halogen-containing silane may be used such that the silane includes at least one hydrogen atom. Such a silane may have a chemical formula of SiX a H y where y > 1. For example, dichlorosilane (H2S1CI2) may be used in some embodiments.

[0099] The reactant used to react with the deposition precursor is selected based on material to be deposited in the large gaps and depending on the deposition precursor selected. For example, for deposition of silicon oxide, one option is to use a silicon-containing precursor as the deposition precursor and to use an oxidant or an oxidizing agent as the reactant. Example oxidizing agents include but are not limited to oxygen, ozone, peroxides, nitric oxide, nitrous oxide, water, and combinations thereof. The reactant gas flow for a 4-station chamber may be about 200 seem to about 5000 seem.

[0100] Both the deposition precursor and reactant may be simultaneously delivered to the process chamber to generate a processing environment in the chamber that includes both the deposition precursor and the reactant. In some embodiments, the deposition precursor and reactant flow are turned on at different times, but there is at least some duration for which both flow of the deposition precursor and flow of the reactant are on at the same time, thereby creating a processing environment in the process chamber that includes both the deposition precursor and the reactant. In some embodiments, delivering the precursor and reactant involves turning on the flow of the deposition precursor and turning on the flow of the reactant at the same time, or about at the same time, or at a time such that both flows are on during at least a duration of time. These gases may be delivered to a showerhead which then delivers the gases to the processing environment in the process chamber, where the gases can react to deposit a film on the semiconductor substrate. In some embodiments, delivery of each process gas may be delivered using different lines to the showerhead so as to avoid reacting before reaching the processing environment.

[0101] Operation 1108 may be performed for any suitable duration. The duration of operation 1108 as described herein means the duration in which the substrate is exposed to the processing environment that includes both the deposition precursor and the reactant. That is, in some embodiments, the duration of keeping the flow of the deposition precursor on and the duration of keeping the flow of the reactant on may be different. In some embodiments, the flow of the deposition precursor and the flow of the reactant are on for the same amount of time.

[0102] In some embodiments, the duration of operation 1108 may be about 1 second, or at least about 1 second. The deposition rate of operation 1108 depends on the flow of the reactant gases as well as the particular precursor and reactant gases chosen and process conditions under which the gases are delivered to the substrate. In some embodiments, the deposition rate may be about 3 A/s to about 60 A/s, or at least about 50 A/s. The pressure of the process chamber may be about 9 Torr to about 40 Torr, or at least about 30 Torr. The gas flow rates depend on the gases being used. In some embodiments, the deposition precursor is flowed at a range between about 1000 seem and about 3000 seem, and the oxidant is flowed at a range between about 2000 seem and about 5000 seem. In some embodiments, Fh is co-flowed with the oxidant at a flow rate of between 0 seem and about 5000 seem. Where FkisFk not co-flowed, flow rate of Fh is 0 seem. As noted previously, the inert gas may be continuously flowed during operation 1006. In some embodiments, the inert gas is flowed at a flow rate between about 2000 seem and about 12000 seem.

[0103] In features that include constrictions or are otherwise susceptible to pinch-off, operation 1006 can be performed at least until the feature is pinched off in some embodiments. Features having different sizes may pinch off at different times. In conformal deposition, deposition starts from each surface and progresses with growth generally orthogonal to the surface. Dielectric growth in features starts from each sidewall and progresses until the growth pinches off the feature.

[0104] In various embodiments, operation 1108 may be performed until the opening of the feature is closed. In some embodiments, a seam may be formed at or near the opening of the feature. For the purposes of this description, “near the opening” is defined as an approximate position or an area within the feature (i.e., along the side wall of the feature) corresponding to about 0% to about 20% of the feature depth measured from the field region. In certain embodiments, the area near the opening corresponds to the area at the opening. Further, “inside the feature” or the “interior of the feature” is defined as an approximate position or an area within the feature corresponding to about 20% to about 60% of the feature depth measured from the field region on the top of the feature. Typically, when values for certain parameters (e.g., thicknesses) are specified “near the opening” or “inside the feature”, these values represent a measurement or an average of multiple measurements taken within these positions/areas.

[0105] In operation 1110, the process chamber is optionally purged. Purging may be performed when the flows of the deposition precursor and reactant(s) in operation lllOare reduced and/or turned off. Purging may be performed depending on plasma process conditions used for subsequent operations. In some embodiments, purge time is longer than the duration between operation 1112 described below and a repeated operation of 1108. Typically, the precursor flow is turned off or diverted during the purge, and only purge gas flows. Purging the chamber may involve flowing a purge gas or a sweep gas, which may be a carrier gas used in other operations or may be a different gas. In some embodiments, purging may involve evacuating the chamber. Example purge gases include argon, nitrogen, hydrogen, helium, and combinations thereof. In some embodiments, operation 1110 may include one or more evacuation subphases for evacuating the process chamber. Alternatively, it will be appreciated that operation 1110 may be omitted in some embodiments. Operation 1110 may have any suitable duration, such as between about 0 seconds and about 60 seconds, for example about 0.01 seconds. In some embodiments, increasing a flow rate of one or more purge gases may decrease the duration of operation 1110. For example, a purge gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process chamber and/or process chamber plumbing for modifying the duration of operation 1110. In one non-limiting example, the duration of a purge phase may be adjusted by modulating purge gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput. In some embodiments, operations 1106-1110 are optionally repeated in several cycles.

[0106] In an optional operation 1112, densification is performed. In some embodiments, densification is performed by introducing a plasma generated from an inert gas. In some embodiments, densification is performed by introducing a plasma generated from gas that is not an inert gas. A plasma may be generated using a noble gas, oxidizing gas, or other gas. Non-limiting examples of gases include argon, nitrogen, hydrogen, oxygen, nitrous oxide, helium, and combinations thereof. The plasma may be generated in situ, or within the chamber, in a processing environment above the substrate. In some embodiments, the plasma is generated in a processing environment between the substrate and the showerhead of a process chamber. The plasma is generated under process conditions selected to modulate features of the film deposited in operation 1112. In some embodiments, a capacitively coupled plasma is used to generate the plasma. In some embodiments, an inductively coupled plasma is used to generate the plasma.

[0107] For example, in some embodiments, a high-pressure radio frequency plasma is generated so as to form a film that has uniform within wafer wet etch rate. A high pressure may be a pressure of at least about 10 Torr, or about 10 Torr to about 50 Torr, or about 10 Torr to about 35 Torr, or about 10 Torr to about 25 Torr.

[0108] In some embodiments, a low-pressure radio frequency plasma is generated to modulate the deposited material to make it dome-shaped with a particular within wafer wet etch rate. A dome-shaped layer may include a convex region in at or near the center of the wafer. A low pressure may be a pressure of less than about 10 Torr, or about 2 Torr to about 10 Torr.

[0109] The within-wafer thickness non-uniformity of a film and etch rate can be tuned by modulating the pressure. In one non-limiting example, a dome-shaped film can be changed to a dish-shaped film by modulating pressure, such as using a pressure of about 6 Torr, a flat film at about 18 Torr, and a dish shaped film at a pressure of about 20 Torr.

[0110] In some embodiments, a combination of low frequency (LF) and high frequency (HF) plasma is used to generate a plasma for which one can modulate whether the resulting film has a dome or dish shape. The plasma may be generated with a radio frequency plasma power of about 1000 W to about 10000 W, or about 5500 W. Duration of operation 1112 depends on the application for which certain disclosed embodiments are used. In some embodiments, operation 1112 may be performed for a duration about 0.1 seconds to about 500 seconds or about 1 second to about 3 seconds.

[0111] If HF and LF plasmas are used, then the HF power may be about 0W to about 10000 W, at a frequency of 13.6 MHz and LF power may be about 0W to about 3000W, at a frequency of about 430 MHz. If a single-frequency plasma is used, HF is used at a frequency of 13.6 MHz with HF power up to about 6000W.

[0112] Temperature during this operation may be the same as during operation 1106 and/or operation 1108 or both. In some embodiments, temperature during operation 1112 is about 550°C to about 650°C, or about 650°C.

[0113] In operation 1114, the chamber is optionally purged. Purge gases and purge conditions may be any of those described above with respect to operation 1110.

[0114] In operation 1118, it is determined whether the film has been deposited as desired, whether to the desired thickness or a desired film property, such as wet etch rate, concavity, uniformity, or conformality. If not, many variations of operations 1106-1114 may be performed. Although certain optionally repeated operations are depicted in Figure 11, it will be understood that any variation of repeating one or more of operations 1106-1114 may be used. In some embodiments, operations 1108-1118 are repeated in cycles. In some embodiments, operations 1006-1114 are repeated in cycles. Operations may be repeated for at least about 2 cycles or more, or about 2 cycles to about 2000 cycles, or about 100 cycles and about 1500 cycles.

[0115] In one example of repeated cycles, operation 1108 may be performed for about x seconds and operation 1112 may be performed for about y seconds, where x and y depend on the particular properties desired for the resulting film. In some embodiments, x is about 0.1 seconds to about 10 seconds. In some embodiments, y is about 0.1 seconds to about 3 seconds. In some embodiments, x is about 0.1 seconds to about 10 seconds, and y is about 0.1 seconds to about 3 seconds. In some embodiments, x and y may each be at least about 1 second. In some embodiments, x may be about 1 second and y may be about 3 seconds. In some cases, continuous deposition may be used whereby y=0. In continuous deposition, operations 1110 and 1114 are not performed. In some embodiments, operations 1110 and 1114 are not performed in one or more repeated cycles. Film properties can be tuned by adjusting ratio of duration of operation 1106 to duration of operation 1108 to duration of operation 1112. That is, film properties can be tuned by adjusting ratio of passivation time to deposition time to densification time. In some embodiments, if a deposition cycle includes an increased duration of operation 1108, the duration of operation 1112 may likewise be increased. In some embodiments, operations 1104-1118 are performed without breaking vacuum. In some embodiments, operations 1104-1118 are performed in the same chamber.

[0116] In various embodiments, shifting between operation 1106, operation 1108, and operation 1112 may be performed by fast switching. Fast switching may be performed by utilizing particular valving and/or plasma turn on techniques and components. In some embodiments, fast switching valves are operable to quickly open and close to without pressure surges or flow instabilities of gases. Fast switching valves can open and close within 100 milliseconds, or within 80 milliseconds, or within 60 milliseconds, or within 40 milliseconds, or within 30 milliseconds, or within 20 milliseconds or faster. Fast switching vales may be operably connected to receive signals from a controller to open or close. In various embodiments, switching is performed quickly with minimal pressure changes between operations. In some embodiments, two or more of operations 1104-1114 are performed in the same chamber, or in the same station. Switching may be performed by using multi-plenum showerheads, whereby one or more source gases are separately distributed to the showerhead before being delivered to the process chamber or station housing the substrate. In some embodiments, a volume of a gas composition in a confined region within a vacuum chamber, such as a plasma confinement zone, can be replaced (i.e., flushed out) by another gas composition introduced into the vacuum chamber within a short period of time. Such gas replacement can be achieved in less than about Is, more preferably within less than about 200 ms, by providing valves having a fast switching capability in the gas distribution system. In various embodiments, the gas switching section (not shown) includes a fast switching valve arrangement configured to receive signals to (iii) open a first group of fast switching valves and close a second group of fast switching valves to supply a first process gas to the inner and outer zones while a second process gas is diverted to the bypass line via a first group of the first gas passages, and (iv) to close the first group of fast switching valves and open the second group of fast switching valves to switch the first and second process gas flows to supply the second process gas to the inner and outer zones while the first process gas is diverted to the bypass line via a second group of the first gas passages. In some embodiments, two or more operations 1104-1114 are performed such that a first process gas is introduced into the process chamber while diverting a second (and optionally third, fourth, or more) process gas to one or more bypass-lines. The first process gas is then ignited to generate a first plasma. In some cases, this first plasma may be a passivation plasma, such as an NF3 plasma. In some embodiments, the first process gas is introduced and a plasma is not generated in this operation (such as if the first process gas is a precursor or reactant used for thermal deposition). The flows of the first and second process gases are switched so that the second process gas is supplied into the process chamber while diverting the first process gas to the by-pass line. For example, this second process gas may be a thermal deposition precursor gas. In some embodiments, the second process gas may be a densification gas. The first process gas may be replaced in a confinement zone of the process chamber by the second process gas within a period of less than about 1 s, or less than about 200 ms. In some embodiments, the second process gas is ignited to produce a second plasma, such as for densification or passivation or inhibition. In some embodiments, the second process gas is not ignited and is flowed thermally, such as for thermal deposition. The flows of the first and second process gases may be switched so that the first process gas is supplied into the plasma processing chamber while diverting the second process gas to the by-pass line, with the second process gas being substantially replaced in a confinement zone of the process chamber by the first process gas within a period of less than about 1 s, or less than about 200 ms. In some embodiments, flows of second and third process gases may be switched. Any number of process gas flows may be switched in a similar manner as described in the above example. Gas delivery systems designed for fast gas switching are disclosed in commonly -owned U.S. Pat. Nos. 7,459,100; 7,708,859; and 8,088,248; and U.S. Patent Publication No. 2007/0066038, the disclosures of which are hereby incorporated by reference.

[0117] Temperature of components of the chamber can be modulated so as to selectively deposit material on the substrate without substantially depositing material on components of the chamber. For example, temperature of components in the process chamber other than the pedestal may be set at a temperature of less than about 300°C, and deposition using CVD and densification as described herein achieves deposition on the substrate on the pedestal substantially faster than deposition of material on surfaces of the process chamber. Where the temperature of the components is less than about 550°C, film accumulation does not occur on the components. This selectivity results in no flaking at higher accumulation when material accumulates on surfaces of the process chamber from processing numerous wafers in the same chamber, which allows the process chamber to be used until the accumulation on non-pedestal components of the chamber has a tolerable thickness. In general, process chambers can withstand more than 3 times the accumulation caused by atomic layer deposition processes. Overall, this is highly advantageous so as to reduce the cost of ownership and reduce the frequency of chamber cleaning.

[0118] In some embodiments, the pedestal is made of aluminum nitride. In some embodiments, the pedestal is ceramic. In some cases, a tool may be retrofitted by replacing the pedestal with a ceramic pedestal and heaters and cooling components may be modified to be able to cool other components while maintaining a pedestal temperature of greater than about 550°C or between about 550°C and about 650°C. In some embodiments, the showerhead is made of aluminum.

[0119] Figure 12 shows a timing scheme diagram showing one example of a method performed in accordance with certain disclosed embodiments. Although a specific order of operations is shown, it will be understood that operations may be performed in any order. Process 1200 includes a first cycle 120aA and a second cycle 1201b. Inert gas shown in Figure 12 can, depending on the gas composition, refer to one or more of an inert gas as carrier gas, inert gas, dilution gas, or other gas used during various operations. The inert gas may be Ar or He. In lieu of the inert gas, other gases that may be used include ¾, N2, O2, or combinations thereof. Although the “on” phase shows constant amounts, it will be understood that an “on” phase may have different levels or amounts used in each phase. Although not shown, in various embodiments, a purging operation may be performed between densification phase 1212A and passivation phase 1206A and densification phase 1212B and passivation phase 1206B. [0120] First cycle 1201 A includes four phases - deposition phase 1208A, densification phase 1212A, passivation phase 1206A, and purge phase 1210A. Deposition phase 1208A may correspond to operation 1108 of Figure 11. During deposition phase 1208A, the inert gas flow continues to be on, the deposition precursor flow is turned on, and the reactant flow is turned on, while passivation gas flow is off and plasma is off. In this example, the deposition precursor flow is turned on at the start of the reactant flow, but it will be understood that in some embodiments, the deposition precursor flow may be turned on shortly before the reactant flow, or the reactant flow may be turned on shortly before the deposition precursor flow.

[0121] Densification phase 1212A may correspond to operation 1112 of Figure 11. During densification phase 1212A, the deposition precursor flow is off and the plasma is turned on while reactant flow is off. In some cases, an inert gas such as Ar may also be flowed during densification phase 1212A as shown as an example inert gas. Note inert gas herein may refer to either carrier gases and/or gases flowed during plasma exposure phase.

[0122] Passivation phase 1206A may correspond to operation 1106 of Figure 11. Curing passivation phase 1206A, the deposition precursor flow is off, the reactant flow is off, the passivation gas flow is on, and plasma is turned on. The inert gas may continue to flow. [0123] Purge phase 1210A may correspond to operation 1110 of Figure 11, whereby inert gas flow may continue to be on while deposition precursor gas flow is turned off, reactant gas flow is off, passivation gas flow is off, and the plasma is turned off.

[0124] In this example, it is determined in operation 1118 of Figure 11 that the film has not been sufficiently deposited and the cycle of operations is repeated.

[0125] Second cycle 1201B includes four phases - deposition phase 1208B, densification phase 1212B, passivation phase 1206B, and purge phase 1210B. Deposition phase 1208B may correspond to a repeated operation 1108 of Figure 11. During deposition phase 1208B, the inert gas flow continues to be on, the deposition precursor flow is turned on, and the reactant flow is turned on, while passivation gas flow is off and plasma is off. In this example, the deposition precursor flow is turned on at the start of the reactant flow, but it will be understood that in some embodiments, the deposition precursor flow may be turned on shortly before the reactant flow, or the reactant flow may be turned on shortly before the deposition precursor flow.

[0126] Densification phase 1212B may correspond to a repeated operation 1112 of Figure 11. During densification phase 1212B, the deposition precursor flow is off and the plasma is turned on while reactant flow is off. In some cases, an inert gas such as Ar may also be flowed during densification phase 1212B as shown as an example inert gas. Note inert gas herein may refer to either carrier gases and/or gases flowed during plasma exposure phase.

[0127] Passivation phase 1206B may correspond to operation 1106 of Figure 11. Curing passivation phase 1206B, the deposition precursor flow is off, the reactant flow is off, the passivation gas flow is on, and plasma is turned on. The inert gas may continue to flow. [0128] Purge phase 1210B may correspond to operation 1110 of Figure 11, whereby inert gas flow may continue to be on while deposition precursor gas flow is turned off, reactant gas flow is off, passivation gas flow is off, and the plasma is turned off.

APPARATUS

[0129] Figure 13 depicts a schematic illustration of an embodiment of a deposition process station 1300 having a process chamber body 1302 for maintaining a processing environment. A plurality of deposition process stations 1300 may be included. For example, Figure 14 depicts an embodiment of a multi-station processing tool 1400. In some embodiments, process stations 1300 may be suitable for variable pressure processing environments. In some embodiments, a multi-station processing tool 1400 includes stations set at variable parameters. In some embodiments, one or more hardware parameters of deposition process station 1300 including those discussed in detail below may be adjusted programmatically by one or more computer controllers 1350.

[0130] Deposition process station 1300 fluidly communicates with reactant delivery system 1301a for delivering process gases to a distribution showerhead 1306. Reactant delivery system 1301aincludes gas sources, including process gas and carrier gas sources. Gas sources may include sources for a deposition precursor gas, or oxidizing agent gas (e.g., ozone), or N2, C , Ar, or Fh gas for delivery to distribution showerhead 1306. One or more inlet valves 1320 may control introduction of process gases to one or more direct gas lines to the distribution showerhead 1306. Plasma may also be delivered to the distribution showerhead 1306 or may be generated in the deposition process station 1300. Deposition process station 1300 may have a confined volume to thereby isolate energetics within the deposition process station 1300. Deposition process station 1300 may be equipped with one or more fast switching valves which may be operably connected to receive signals from a controller to open or close. In some embodiments, the deposition process station 1300 may be equipped with a switching section (not shown) used for fast switching of gas flows. In some embodiments, deposition process station 1300 is equipped with fast RF turn on components to turn on and turn off plasma quickly. In some embodiments, plasma is generated remotely and plasma species are distributed and inletting of plasma species is controlled using fast switching valves. Reactant delivery system 1301a is operable to control the flow rate, and optionally also to adjust the composition, of different gases that can be supplied to the switching section (not shown). Reactant delivery system 1301a can provide different flow rates and/or chemistries of the process and carrier gases to the switching section (not shown) via gas passages as shown. In addition, the flow rate and/or chemistry of the process and/or carrier gas that is supplied to the showerhead 1306 (while the other gas may be diverted to a by-pass line (not shown), which can be in fluid communication with a vacuum pumping system, such as between a turbo pump and a roughing pump). In some embodiments, the processing environment within the deposition process station 1300 may include one or more zones. The reactant delivery system 1301a can provide desired gas flows and/or gas chemistries across the substrate 1312, thereby enhancing substrate processing uniformity.

[0131] Showerhead 1306 distributes process gases toward substrate 1312. In the embodiment shown in Figure 13, the substrate 1312 is located beneath showerhead 1306 and is shown resting on a pedestal 1308. Showerhead 1306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing process gases to substrate 1312. In some embodiments, showerhead 1306 may be a temperature controlled aluminum showerhead. For example, in some embodiments, showerhead 1306 is set at a setpoint temperature of about 300°C. In some embodiments, the showerhead 1306 may be equipped with multiple plenums to flow different gases separately to the showerhead and/or to the deposition process station 1300 to allow fast switching between gas flows.

[0132] The pedestal 1308 may be a ceramic material or may be another suitable material. In some embodiments, pedestal 1308 may be a ceramic pedestal capable of running high temperatures, such as about or at least about 650°C.

[0133] In some embodiments, pedestal 1308 may be a ceramic pedestal. In some embodiments where a ceramic pedestal is used, a focus ring 1313 may be on pedestal 1308 such that the focus ring can be used to focus plasma to modulate plasma non-uniformity at the wafer edge.

[0134] In some embodiments, pedestal 1308 may be an aluminum nitride pedestal. In some embodiments where an aluminum nitride pedestal is used, the focus ring 1313 may be optional. [0135] Pedestal 1308 may have a thin stem to act as a thermal break to chamber vacuum seals. In some embodiments, the pedestal 1308 may include dual-zone heaters (that is, inner and outer heaters) to drive thermal uniformity.

[0136] In some embodiments, pedestal 1308 may be raised or lowered to expose substrate 1313 to a volume between the substrate 1312 and the distribution showerhead 1306. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 1350.

[0137] In another scenario, adjusting a height of pedestal 1308 may allow a plasma density to be varied during plasma activation cycles in the process in embodiments where a plasma is ignited. At the conclusion of the process phase, pedestal 1308 may be lowered during another substrate transfer phase to allow removal of substrate 1312 from pedestal 1308.

[0138] In some embodiments, pedestal 1308 may be temperature controlled via heater 1310. In some embodiments, the pedestal 1308 may be heated to a temperature of at least about 650°C, during deposition of silicon oxide films as described in disclosed embodiments. In some embodiments, the pedestal is set at a temperature of about 500°C to about 900°C, or about 400°C to about 650°C, or about 400°C to about 800°C, or about 600°C to about 700°C.

[0139] Further, in some embodiments, pressure control for process station 1300 may be provided by butterfly valve 1318. As shown in the embodiment of Figure 13, butterfly valve 1318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 1300 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 1300.

[0140] In some embodiments, a position of distribution showerhead 1306 may be adjusted relative to pedestal 1308 to vary a volume between the substrate 1312 and the distribution showerhead 1306. Further, it will be appreciated that a vertical position of pedestal 1308 and/or showerhead 1306 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 1308 may include a rotational axis for rotating an orientation of substrate 1312. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 1350.

[0141] In some embodiments where plasma may be used as discussed above, distribution showerhead 1306 and pedestal 1308 electrically communicate with a radio frequency (RF) power supply 1314 and matching network 1316 for powering a plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 1314 and matching network 1316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are about 0W to about 6000W. Plasma may be used during densification after performing chemical vapor deposition of dielectric material onto the substrate surface. RF power supply 1314 may provide RF power of any suitable frequency. In some embodiments, RF power supply 1314 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies of about 10 kHz to about 2 MHz or about 10 kHz to about 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies about 1.8 MHz to about 100 MHz, or about 1.8 MHz to about 2.45 GHz, or greater than about 13.56 MHz, or greater than 27 MHz, or greater than 40 MHz, or greater than 60 MHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions.

[0142] In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.

[0143] In some embodiments, instructions for a controller 1350 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert gas, instructions for setting a flow rate of a carrier gas (such as Ar), instructions for igniting a plasma, and time delay instructions for the first recipe phase. A second recipe phase may include instructions for setting a flow rate of an inert and/or precursor gas, instructions for setting a flow rate of a carrier gas (such as Ar), and time delay instructions for a second recipe phase. A third, recipe phase may include instructions for modulating a flow rate of an oxidizing agent gas such as ozone, instructions for modulating the flow rate of a carrier or purge gas, and time delay instructions for the fourth recipe phase. A fourth, subsequent recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, and instructions for modulating a flow rate of a carrier or purge gas and time delay instructions for the fifth recipe phase. A fifth, subsequent recipe phase may include instructions for modulating or stopping a flow rate of one gas and instructions for generation of a plasma, and time delay instructions for the fifth recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the disclosed embodiments. In some embodiments, the controller 1350 may include any of the features described below with respect to system controller 1450 of Figure 14.

[0144] As described above, one or more process stations may be included in a multi-station processing tool. Figure 14 shows a schematic view of an embodiment of a multi-station processing tool 1400 with an inbound load lock 1402 and an outbound load lock 1404, either or both of which may comprise a remote plasma source. A robot 1406, at atmospheric pressure, is configured to move substrates or wafers from a cassette loaded through a pod 1408 into inbound load lock 1402 via an atmospheric port 1410. A substrate is placed by the robot 1406 on a pedestal 1412 in the inbound load lock 1402, the atmospheric port 1410 is closed, and the load lock is pumped down. Where the inbound load lock 1402 comprises a remote plasma source, the substrate may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 1414. Further, the substrate also may be heated in the inbound load lock 1402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 1416 to processing chamber 1414 is opened, and another robot (not shown) places the substrate into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in Figure 14 includes load locks, it will be appreciated that, in some embodiments, direct entry of a substrate into a process station may be provided. In various embodiments, the soak gas is introduced to the station when the substrate is placed by the robot 1406 on the pedestal 1412.

[0145] The depicted processing chamber 1414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in Figure 14. Each station has a heated pedestal (shown at 1418 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between an ALD and PEALD process mode. Additionally, or alternatively, in some embodiments, processing chamber 1414 may include one or more matched pairs of ALD and plasma-enhanced ALD process stations. While the depicted processing chamber 1414 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations. [0146] Figure 14 depicts an embodiment of a wafer handling system 1490 for transferring substrates within processing chamber 1414. In some embodiments, wafer handling system 1490 may transfer substrates between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. Figure 14 also depicts an embodiment of a system controller 1450 employed to control process conditions and hardware states of process tool 1400. System controller 1450 may include one or more memory devices 1456, one or more mass storage devices 1454, and one or more processors 1452. Processor 1452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. In some embodiments, system controller 1450 includes machine-readable instructions for performing operations such as those described above with respect to Figures 2, 3, and 4.

[0147] In some embodiments, system controller 1450 controls the activities of process tool 1400. System controller 1450 executes system control software 1458 stored in mass storage device 1454, loaded into memory device 1456, and executed on processor 1452. Alternatively, the control logic may be hard coded in the controller 1450. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 1458 may include instructions for controlling the timing, mixture of gases, amount of gas flow, chamber and/or station pressure, chamber and/or station temperature, substrate temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 1400. System control software 1458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 1458 may be coded in any suitable computer readable programming language.

[0148] In some embodiments, system control software 1458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 1454 and/or memory device 1456 associated with system controller 1450 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program. [0149] A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 1418 and to control the spacing between the substrate and other parts of process tool 1400.

[0150] A process gas control program may include code for controlling gas composition (e.g., first precursor gas, soak gas, second reactant gas, and purge gases as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.

[0151] A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as the soak gas) to the substrate.

[0152] A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.

[0153] A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.

[0154] In some embodiments, there may be a user interface associated with system controller 1450. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.

[0155] In some embodiments, parameters adjusted by system controller 1450 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.

[0156] Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 1450 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 1400. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions. [0157] System controller 1450 may provide program instructions for implementing the above-described deposition processes such as processes that employ a soak prior to initiating ALD for a substrate inserted into the reaction chamber, with the soak performed under any of the soak conditions described herein. The program instructions may control a variety of process parameters, such as direct current (DC) power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.

[0158] The system controller will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller.

[0159] In some implementations, the system controller 1450 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The system controller 1450, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

[0160] Broadly speaking, the system controller 1450 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 1450 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

[0161] The system controller 1450, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 1450 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 1450 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 1450 is configured to interface with or control. Thus as described above, the system controller 1450 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

[0162] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0163] As noted above, depending on the process step or steps to be performed by the tool, the system controller 1450 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

[0164] An appropriate apparatus for performing the methods disclosed herein is further discussed and described in U.S. Patent Application Nos. 13/084,399, filed April 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”; and 13/084,305, filed April 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” each of which is incorporated herein in its entireties.

[0165] The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or ultraviolet (UV) curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. EXPERIMENTAL

EXPERIMENT 1

[0166] An experiment was conducted for two different substrates. A first substrate involved blanket deposition of a silicon oxide film using chemical vapor deposition (CVD) with tris(dimethylamino)silane (3DMAS) as the silicon precursor and oxygen at 5000 seem as the reactant at a temperature of 550°C for 30 seconds in a chamber having a pressure of 12 Torr. Argon was flowed as a carrier/purge gas at 9200 seem, and hydrogen was co-flowed with the process gases at 5000 seem. The resulting substrate had a deposition rate of 0.7 A/s. A second substrate involved blanket deposition of silicon oxide using 3DMAS as the silicon precursor and oxygen at 5000 seem as the reactant at a temperature of 650°C for 30 seconds in a chamber having a pressure of 12 Torr. Argon was flowed as a carrier/purge gas at 9200 seem, and hydrogen was co-flowed with the process gases at 5000 seem. The resulting substrate had a deposition rate of 3.81 A/s. The compared deposition rates (DR) as provided in a graph in Figure 15. These results suggested a strong dependence of the deposited film on temperature.

EXPERIMENT 2

[0167] An experiment was conducted for different substrates. Multiples substrates involved blanket deposition of a silicon oxide film using 3DMAS as the silicon precursor and oxygen at 5000 seem as the reactant at a temperature of 650°C for 30 seconds in a chamber. Argon was flowed as a carrier/purge gas at 9200 seem, and hydrogen was co-flowed with the process gases at 5000 seem. Films were deposited at chamber pressures of 9 Torr, 12 Torr, 15 Torr, 17.5 Torr, and 19.5 Torr. The resulting substrate deposition rates are graphed in Figure 16. The results suggested a strong dependence of deposition rate on chamber pressure such that at higher chamber pressures, increased deposition rate was observed.

EXPERIMENT 3

[0168] An experiment was conducted for four different substrates. A first substrate involved blanket deposition of a silicon oxide film using 3DMAS as the silicon precursor and oxygen as the reactant at a temperature of 650°C for 30 seconds in a chamber without subsequent densification .

[0169] The second, third, and fourth substrates involved blanket deposition of a silicon oxide film using multiple deposition cycles. Each deposition cycle involved (1) simultaneous exposure to 3DMAS as the silicon precursor and oxygen as the reactant at a temperature of 650°C; (2) purge; (3) radio frequency densification; and (4) purge. For the second substrate, a chamber pressure of 6 Torr was used, while during densification, high frequency plasma was generated using 3500W and low frequency plasma was generated using 2000W. For the third substrate, a chamber pressure of 6 Torr was used, and during densification, single frequency high frequency plasma was generated using 5500W plasma power. For the fourth substrate, a chamber pressure of 19.5 Torr was used, and during densification, single frequency high frequency plasma was generated using 5500W plasma power.

[0170] The resulting substrate’s normalized wet etch rate amounts are graphed in Figure 17. Normalized wet etch rate amounts are normalized to standard high temperature furnace oxide. Series 1701 shows normalized wet etch rate amounts of the first substrate, series 1702 shows the normalized wet etch rate amounts when a film is deposited using the dual frequency plasma, series 1703 shows the normalized wet etch rate amounts when a film is deposited using 6 Torr pressure and single frequency plasma, and 1705 shows the normalized wet etch rate amounts when a film is deposited using 19.5 Torr pressure and single frequency plasma. Without densification, WERR is about 8.5 (1701) but can be decreased to about 5 (1702, 1703, 1704) and can be used to adjust the film profile (1702, 1702). With densification, wet etch rate ratios may range from about 1.5 to about 6. In some embodiments, with densification, wet etch ratios may be 0.2 up as compared to a non-densified film.

EXPERIMENT 4

[0171] An experiment was conducted to obtain a Fourier-transform infrared spectroscopy (FTIR) spectrum for a film deposited using chemical vapor deposition at 650°C without densification and a film deposited using chemical vapor deposition at 650°C with radio frequency (RF) densification in cycles such that each deposition cycle involved 1 second of CVD followed by 1 second of densification.

[0172] In the FTIR spectrum obtained for the film deposited in cycles with densification, all peaks related to -OH bonds were eliminated, suggesting high quality films were deposited. EXPERIMENT 5

[0173] An experiment was conducted measuring wet etch rate of various films deposited in a large feature. Wet etch rate was evaluated in a 10-minute 100:1 diluted hydrofluoric acid dip. A first substrate included a feature having an aspect ratio of about 1:10, the feature filled with dielectric material deposited using CVD at a chamber pressure of 19.5 Torr without densification or cycles of deposition. The wet etch rate ratio was evaluated at the top of the feature (6.51), middle of the feature (6.43), and bottom of the feature (6.57).

[0174] A second substrate included a feature having a an aspect ratio of about 1:10, the feature filled with dielectric material deposited using deposition cycles, the cycles including 1 second of CVD at a chamber pressure of 19.6 Torr and 6 seconds of radio frequency (RF) densification at 6 Torr. The wet etch rate ratio was evaluated at the top of the feature (3.77), middle of the feature (4.12), and bottom of the feature (4.17).

[0175] These results suggest the cycles of CVD and densification substantially reduced the wet etch rate.

EXPERIMENT 6

[0176] An experiment was conducted involving a substrate having high aspect ratio features and a polysilicon liner. The polysilicon liner exhibited reduced damage when exposed to cycles of thermal CVD and plasma densification with inhibition plasma using NF3 plasma which may be due to increase deposition rate and reduced re-activated residual fluorine during deposition. [0177] The deposition involved thermal CVD with a plasma densification and an inhibition step time dependent on depth used to inhibit down to a desired location within the high aspect ratio features. The use of thermal CVD timing deposition resulted in increased deposition rate and less observed damage.

CONCLUSION

[0178] The implementations disclosed above describe deposition of a material on a substrate such as a wafer, substrate, or other work piece. The work piece may be of various shapes, sizes, and materials. In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably.

[0179] One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. Unless otherwise stated, the processing details recited herein (e.g., flow rates, power levels, etc.) are relevant for processing 300 mm diameter substrates, or for treating chambers that are configured to process 300 mm diameter substrates, and can be scaled as appropriate for substrates or chambers of other sizes. In addition to semiconductor wafers, other work pieces that may be used implementations disclosed herein include various articles such as printed circuit boards and the like. The processes and apparatuses can be used in the fabrication of semiconductor devices, displays, LEDs, photovoltaic panels and the like.

[0180] Ranges described herein include their endpoints.

[0181] “Silicon oxide” is referred to herein as including chemical compounds including silicon and oxygen atoms, including any and all stoichiometric possibilities for SixOy, including integer values of x and y and non-integer values of x and y. For example, “silicon oxide” includes compounds having the formula SiOn, where 1 < n < 2, where n can be an integer or non-integer values. “Silicon oxide” can include sub-stoichiometric compounds such as SiOi.8. “Silicon oxide” also includes silicon dioxide (SiCh) and silicon monoxide (SiO). “Silicon oxide” also includes both natural and synthetic variations and also includes any and all crystalline and molecular structures, including tetrahedral coordination of oxygen atoms surrounding a central silicon atom. “Silicon oxide” also includes amorphous silicon oxide and silicates. In some embodiments, silicon oxide refers to silicon-rich films. Additional impurities such as carbon, nitrogen, boron, phosphorous, and germanium may be added to silicon oxide.

[0182] “Silicon nitride” is referred to herein as including any and all stoichiometric possibilities for Si x N y , including integer values of x and y and non-integer values of x and y, such as x=3 and y-4. For example, “silicon nitride” includes compounds having the formula SiNn, where 1 < n < 2, where n can be an integer or non-integer values and S13N4. “Silicon nitride” can include sub-stoichiometric compounds where n is between about 0.8 and about 1.7, such as S1N1.7. Silicon nitride can include about 0 % to about 25% hydrogen or about 10% to about 25% hydrogen. “Silicon nitride” also silicon nitride with some hydrogen bonded to silicon or nitrogen, some oxygen bonded to silicon or nitrogen, or combinations thereof. “Silicon nitride” also includes both natural and synthetic variations and also includes any and all lattice, crystalline, and molecular structures, including trigonal alpha-silicon nitride, hexagonal beta-silicon nitride, and cubic gamma-silicon nitride. “Silicon nitride” also includes amorphous silicon nitride and can include silicon nitride having trace amounts of impurities. [0183] It will be understood that temperatures as described herein may refer to the temperature at which a pedestal holding the substrate may be set at. The terms “substrate temperature,” “pedestal temperature,” and “deposition temperature” may all refer to temperatures at which a pedestal is set at. [0184] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.