Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
CONTROLLED GROWTH OF A NANOSTRUCTURE ON A SUBSTRATE, AND ELECTRON EMISSION DEVICES BASED ON THE SAME
Document Type and Number:
WIPO Patent Application WO/2006/115453
Kind Code:
A1
Abstract:
The present invention provides a method for nanostructures grown on a metal underlayer, and a method of making the same. The grown nanostructures based on the claimed method are suitable for manufacturing electronic devices such as an electron beam writer, and a field emission display.

Inventors:
KABIR MOHAMMAD SHAFIQUL (SE)
Application Number:
PCT/SE2006/000487
Publication Date:
November 02, 2006
Filing Date:
April 25, 2006
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SMOLTEK AB (SE)
KABIR MOHAMMAD SHAFIQUL (SE)
International Classes:
D01F9/127; D01F9/08; H01J1/304; H01J37/30; H01L21/02
Domestic Patent References:
WO2004042830A12004-05-21
WO2004109815A12004-12-16
Foreign References:
US20020185949A12002-12-12
Other References:
KABIR M S ET AL: "Plasma-enhanced chemical vapour deposition growth of carbon nanotubes on different metal underlayers", NANOTECHNOLOGY, IOP, BRISTOL, GB, vol. 16, no. 4, 1 April 2005 (2005-04-01), pages 458 - 466, XP020090998, ISSN: 0957-4484
MORJAN R E ET AL: "Selective growth of individual multiwalled carbon nanotubes", CURRENT APPLIED PHYSICS, NORTH-HOLLAND, vol. 4, no. 6, November 2004 (2004-11-01), pages 591 - 594, XP004596656, ISSN: 1567-1739
TEO K B K ET AL: "Plasma enhanced chemical vapour deposition carbon nanotubes/nanofibres - how uniform do they grow?", NANOTECHNOLOGY, INSTITUTE OF PHYSICS PUBLISHING, BRISTOL, GB, vol. 14, no. 2, 1 February 2003 (2003-02-01), pages 204 - 211, XP020067488, ISSN: 0957-4484
KABIR M S ET AL: "Fabrication of individual vertically aligned carbon nanofibres on metal substrates from prefabricated catalyst dots", NANOTECHNOLOGY, INSTITUTE OF PHYSICS PUBLISHING, BRISTOL, GB, vol. 17, no. 3, 14 February 2006 (2006-02-14), pages 790 - 794, XP002394787
LEE S W ET AL: "A Three-Terminal Carbon Nanorelay", NANO LETTERS, ACS, WASHINGTON DC, USA, vol. 4, no. 10, 8 April 2004 (2004-04-08), pages 2027 - 2030, XP002394788
Attorney, Agent or Firm:
EHRNER & DELMAR PATENTBYRÅ AB (Stockholm, SE)
Download PDF:
Claims:
WHAT IS CLAIMED
1. A nanostructure assembly comprising: a conducting substrate; a nanostructure supported by the conducting substrate; and a plurality of intermediate layers between the conducting substrate and the nanostructure, the plurality of intermediate layers including at least one layer that affects a morphology of the nanostructure and at least one layer to affect an electrical property of an interface between the conducting substrate and the nanostructure.
2. The nanostructure of claim 1 wherein the conducting substrate comprises a metal.
3. The nanostructure of claim 2 wherein the metal is selected from the group consisting of tungsten, molybdenum, niobium, platinum and palladium.
4. The nanostructure assembly of claim 1 wherein the plurality of intermediate layers comprises a metal layer and a layer of semiconducting material.
5. The nanostructure of claim 4 wherein the layer of semiconducting material is amorphous silicon.
6. The nanostructure assembly of claim 1 , wherein the nanostructure is a carbon nanotube.
7. The nanostructure assembly of claim 1 , wherein the nanostructure is a carbon nanofiber.
8. The nanostructure assembly of claim 7, wherein the nanostructure has a conical angle less than 2°.
9. The nanostructure assembly of claim 1, wherein the nanostructure is made from a compound selected from the group consisting of: InP, GaAs, and AlGaAs.
10. The nanostructure assembly of claim 1 , wherein the plurality of intermediate layers form an Ohmic contact.
11. The nanostructure assembly of claim 1 , wherein the plurality of intermediate layers form a Schottky barrier.
12. An array of nanostructure assemblies according to claim 1, wherein the conducting substrate is directly on a wafer of silicon, or oxidized silicon.
13. The nanostructure assembly of claim 1 , wherein the plurality of intermediate layers is between 1 nm and 1 μm thick.
14. The nanostructure assembly of claim 1, wherein the intermediate layer adjacent to the nanostructure is a layer of catalyst, and wherein the catalyst is selected from the group consisting of: Ni, Fe, Mo, NiCr, and Pd.
15. A precursor for a nanostructure assembly, comprising: a conducting substrate; a catalyst layer; and a plurality of intermediate layers between the conducting substrate and the catalyst layer, the plurality of intermediate layers including at least one layer to affect morphology of a nanostructure to be formed on the catalyst layer and at least one layer to affect electrical properties of an interface between the support layer and the nanostructure.
16. A carbon nanostructure assembly comprising: a metal layer; a carbon nanostructure; and at least one intermediate layer between the metal layer and the carbon nanostructure, the at least one intermediate layer including a semiconductor material, a catalyst, and a metal from the metal layer.
17. A carbon nanostructure assembly comprising: a conducting substrate; a layer of amorphous silicon on the conducting substrate; and a layer of catalyst on the layer of amorphous silicon, wherein the carbon nanostructure is disposed on the catalyst.
18. A carbon nanostructure, comprising: a substantially straight generally cylindrical carbon nanostructure having a conical angle less than 2 degrees.
19. An array of carbon nanostructures supported on a substrate, wherein each carbon nanostructure in the array comprises: a conducting substrate; a plurality of intermediate layers on the conducting substrate; a catalyst layer on the intermediate layers; and a carbon nanostructure on the catalyst layer, wherein said each carbon nanostructure is spaced apart from any other carbon nanostructure in the array by between 70 nm and 200 nm.
20. A carbon nanostructure assembly according to claim 19, wherein the nanostructures are spaced apart by between 70 nm and 120 nm.
21. A method of forming a nanostructure, comprising: depositing a layer of semiconducting material on a conducting substrate; depositing a catalyst layer on the semiconducting layer; without first annealing the substrate, causing the substrate to be heated to a temperature at which the nanostructure can form; and growing a nanostructure on the catalyst layer at the temperature.
22. A method of forming a nanostructure precursor, comprising: depositing a sacrificial layer on a conducting substrate; forming a plurality of apertures in the sacrificial layer; depositing an intermediate layer of semiconducting material over the sacrificial layer and on the substrate in the apertures; depositing a catalyst layer over the intermediate layer; and lifting off the sacrificial layer to leave portions of the intermediate layer and catalyst layer corresponding to the apertures on the substrate.
23. An electron beam writer, comprising: a support; an insulating layer on the support; a third layer of material on the insulating layer, arranged to form a cavity; a metal electrode on the insulating layer, in the cavity; a nanostructure built upon the metal electrode; and an electrode layer deposited on the third layer of material.
24. An electron beam writer, comprising: a nanostructure having a base and a tip, wherein the base is affixed to a first electrode; a plurality of second electrodes disposed around the nanostructure; and electrical circuitry that connects the first electrode to the plurality of second electrodes, and is configured to: cause a voltage difference to arise between the first electrode and the plurality of second electrodes; cause electrons to be emitted from the tip; and cause the tip to move in space towards one of the plurality of second electrodes.
25. The electron beam writer of claim 24, wherein the plurality of second electrodes are symmetrically disposed about the nanostructure.
26. The electron beam writer of claim 24, wherein the base of the nanostructure comprises a plurality of layers, wherein at least one of the plurality of layers is a layer of semiconducting material, and another one of the plurality of layers is a layer of catalyst.
27. A field emission device, comprising: a plurality of pixels, wherein each pixel comprises: a conducting substrate; a plurality of nanostructures deposited on the conducting substrate, wherein a plurality of intermediate layers between the nanostructures and the conducting substrate includes at least one layer of semiconducting material; and wherein the conducting substrate forms an electrode that is in electrical communication with a voltage source and second electrode; and wherein the second electrode has a coating of phosphor; and wherein upon application of a voltage between the conducting substrate and the second electrode, the nanostructures emit electrons towards the phosphor coating.
Description:
CONTROLLED GROWTH OF A NANOSTRUCTURE ON A SUBSTRATE, AND ELECTRON EMISSION DEVICES BASED ON TBE SAME

CLAIM OF PRIORITY

[0001] This application claims the benefit of priority of Swedish provisional application serial no. 0500926-1, filed April 25, 2005, and of Swedish provisional application serial no. 0501888-2, filed August 25, 2005, and to U.S. provisional application serial no. 60/772,449, filed February 10, 2006, all of which are incorporated herein by reference in their entirety.

FIELD OF THE INVENTION

[0002] The present invention generally relates to nanostructures and methods for their growth. The present invention more particularly relates to methods of controlling the growth of nanostructures such as carbon nanofibers which enables manufacture of electron emission based devices such as electron beam writers and field emission displays.

BACKGROUND

[0003] Relentless efforts at miniaturization are bringing traditional CMOS devices to the limit where the device characteristics are governed by quantum phenomena; in such regimes, perfect control is impossible to achieve. This has engendered a need for finding alternative new materials to fabricate devices that will possess at least the same or even better performance than existing CMOS devices but with greater control.

[0004] The miniaturization of CMOS devices has hitherto been governed by a trend — often called Moore's law — in which electronic components shrink in size by half every 30 months. The International Technology Roadmap for Semiconductors (ITRS) has established a projected growth curve according to this model. The demands for speed, high integration level, high performance and low production costs attendant on such a rate of progress are very stringent. Consequently, the problems associated with the physical and electrical characteristics of traditional materials used for making devices have escalated. Hence there is a need to search for alternative solutions to the problems that will ultimately impede the progress of silicon technology in the immediate future. This means that devising innovative material and process solutions is critical to sustaining the projected rate of growth.

[0005] The choice of new materials is however limited by factors such as compatibility with existing production methods, reproducibility of manufacture and cost. Some problems that existing technology materials have faced are as follows.

[0006] High power consumption due to leakage current: currently, the device performance is degraded due to high leakage current through gate oxide (which is very thin). This in turn increases the leakage current in the off state, and hence increases power consumption, which in turn reduces the life time of a battery.

[0007] Poor performance of Cu interconnects: due to its low resistivity, copper is used for making interconnects that are used for connecting various components to one another, as well as devices and circuits with the outside world. Due to the dramatic reduction in the size of the components, interconnects based on copper material are now showing poor performance in terms of current carrying capacity and lifetime of the wires. This in turn reduces the lifetime of a processor. No solution currently exists for interconnects that will efficiently connect the devices in a circuit with those outside of the circuit, in time to meet the projected demand for current density over the next several years.

[0008] Demand for high aspect ratio structures: today the aspect ratio of contact holes for interconnects in DRAM staked capacitors has reached 12:1 and is expected to increase to 23:1 by the year 2016. Creating such high aspect ratio contacts with straight walls poses substantial technological challenges, not least because void-free filling with metals (also known as vias) of such high aspect ratio features is extremely difficult.

[0009] High heat dissipation: modern microprocessors generate inordinate amounts of heat. Heat dissipation has been increasing steadily as the transistor count and clock frequency of computer processors has increased. In particular, for example, copper interconnects of the sizes required for current and future devices generate so much heat that their electrical resistance is increased, thereby leading to a decreased capacity to carry current. However a practical solution for cooling of such systems which will not eventually exceed the power budget for processors has yet to be found.

[0010] In short, for all these reasons, it has become necessary to search for alternative materials and processing technology.

[0011] Carbon nanostructures, including carbon nanotubes (CNTs) andnanofibers, are considered to be some of the most promising candidates for future developments in nano-

electronics, nano-electromechanical systems (NEMS), sensors, contact electrodes, nanophotonics, and nano-biotechnology. This is due principally to their one dimensional nature, and their unique electrical, optical and mechanical properties. In contrast to the fullerenes, such as C 60 and C 7 o, whose principal chemistry is based on attaching specific functionalities thereby giving rise to specific properties, CNTs offer an almost limitless amount of variation through design and manufacture of tubes of different diameters, pitches, and lengths. Furthermore, whereas the fullerenes offer the possibility of making a variety of discrete molecules with numerous specific properties, carbon nanotubes provide the possibility to make molecular-scale components that have excellent electrical and thermal conductivity, and strength. (See, e.g., Nanoelectronics and Information Technology, R. Waser (Ed.), Wiley- VCH, 2003, at chapter 19.)

[0012] Carbon nanotubes and carbon nanofibers have been considered for both active devices and as interconnect technology at least because their electrical and thermal properties and their strength. For example, the high electron mobility of carbon nanotubes (79,000 cm 2 /Vs) surpasses that of state-of-the-art MOSFET devices (see, e.g., Durkop, T., et al, Nano Letters, 4(1), 35, (2004)). Furthermore, the extremely high current carrying capacity of carbon nanotubes (10 10 A/cm 2 ) (see, e.g., Wei, B. Q., et al, Appl. Phys. Lett., 79(8), 1172, (2001)), when compared with copper interconnects (~ 10 6 A/cm 2 ), means that carbon nanotubes potentially possess the solution to the severe problems for interconnects projected in ITRS.

[0013] The anisotropic thermal conductivity of nanotubes/nanofibers (6,000 W/Km) (see, e.g., Hoenlien, W., et al, IEEE Trans. Compon. and Packaging Tech, 27(4), 629, (2004)) is also exceptionally promising for solving problems of heat dissipation.

[0014] Finally, the high E-modulus (representing the strength of a material) of individual nanotubes (as high as 1 TPa) has made them a good choice for both composite materials and for nanoelectromechanical devices.

[0015] In general, it is highly desirable to fabricate electronic devices that are compatible with existing complementary metal oxide semiconductor (CMOS) fabrication techniques. A prerequisite for exploring CNTs in an industrial process is to be able to control mass production of devices with high reproducibility. Due to high purity and high yield, chemical vapor deposition (CVD) is a popular and advantageous growth method that offers the potential to grow nanotubes at an exact location with control over their length, diameter, shape and orientation.

[0016] Hence for many electronic, nanoelectromechanical systems and interconnect applications the integration possibilities of carbon nanostructures into existing CMOS-based electronic industrial manufacturing processes is expected to be a ground breaking technological breakthrough. However, there are many engineering and materials issues inherent to CMOS- compatible device fabrication processes that need to be addressed before such integration can take place. Solutions to these issues have so far been long-awaited.

[0017] For instance, there are problems related to growth of nanostructures. Although numerous techniques have been developed and demonstrated to produce carbon based nanostructures, all possess drawbacks regarding mass production and integration into existing industry manufacturing processes. Prominent drawbacks are: (a) control over predictable morphology with either semiconducting or metallic properties, (b) precise localization of the grown individual structures, and (c) predictable electrical properties at the interface between the grown nanostructures and the substrate. There is no known single solution to solve all the aforementioned drawbacks. The most prominent techniques for synthesizing carbon nanostructures include arc discharge (see, e.g., Iijima, S., Nature, 354, 56, (1991); and Kratschmer, W.; Lamb, L. D.; Fostiropoulos, K.; Huffman, D. R., Nature, 347, 354, (1990)), laser vaporization (see, e.g., Kroto, H. W.; Heath, J. R.; O'Brien, S. C; Curl, R. F.; Smalley, R. E. Nature, 318, 162, (1985)), catalytic chemical-vapor deposition (CCVD), also referred to as CVD, (Cassell, A. M.; Raymakers, J. A.; Jing, K.; Hongjie, D.,J Phys. Chem. B, 103, (31), (1999)), and catalytic plasma enhanced chemical-vapor deposition (C-PECVD) (Cassell, A. M.; Qi, Y.; Cruden, B. A.; Jun, L.; Sarrazin, P. C; Hou Tee, N.; Jie, H.; Meyyappan, M.,Nanotechnology, 15(1), 9, (2004); and Meyyappan, M.; Delzeit, L.; Cassell, A.; Hash, O., Plasma Sources, Science and Technology, 12(2), 205, (2003)). Due to high purity and high yield, chemical vapor deposition (CVD) is a popular and advantageous growth method, and indeed, among all of the known growth techniques, CMOS compatibility has been demonstrated only for the CCVD method. (See, Tseng, et a (Tseng, Y.-C; Xuan, P.; Javey, A.; Malloy, R.; Wang, Q.; Bokor, J.; Dai, H. Nano Lett. 4(1), 123-127, (2004)) where a monolithic integration of nanotube devices was performed on n-channel semiconductor (NMOS) circuitry.)

[0018] There are specific problems related to control of the properties of grown materials. Even though numerous different alternative growth methods exist for growing carbon nanostructures, controlling the interface properties between the nanostructures and the substrates, the body of the nanostructures, and the tip of the nanostructures are not yet demonstrated to be well controlled by utilizing a single method of growth.

[0019] CVD typically employs a metal catalyst to facilitate carbon nanostructure growth. The main roles of the catalyst are to break bonds in the carbon carrying species and to absorb carbon at its surface and to reform graphitic planes through diffusion of carbon through or around an interface (see, e.g., Kim, M. S.; Rodriguez, N. M.; Baker, R. T. K., Journal of Catalysis, 131, (1), 60, (1991); and Melechko, A. V.; Merkulov, V. L; McKnight, T. E.; Guillorn, M. A.; Klein, K. L.; Lowndes, D. H.; Simpson, M. L., J. Aρp. Phys., 97(4), 41301, (2005)).

[0020] However, the growth of nanotubes is usually carried out on silicon or other semiconducting substrates. Growth from such metal catalysts on conducting metal substrates or metal underlayers is almost lacking. This is because it has been found that it is hard to make a good contact between a growing nanostructure and a conducting substrate with good quality grown nanostructures in terms of control over diameter, length and morphology. Nevertheless, for making CMOS-compatible structures, it is necessary to use a conducting substrate. In particular, this is because a metal substrate, or base layer, acts as bottom electrode for electrical connection to the nanostructures.

[0021] Nevertheless, growth of nanostructures on CMOS compatible conducting substrates has proved to be far from trivial, at least because different metals require different conditions, and also because it has proven difficult to control the properties of the nanostructures grown on such substrates with predictable control over diameter, length and morphology of the grown structures and with predictable interface properties between the nanostructures and the substrate.

[0022] A method for producing arrays of carbon nanotubes on a metal underlayer, with a silicon buffer layer between the metal underlayer and a catalyst layer, is described in U.S. Patent Application Publication No.2004/0101468 by Liu Qt al. According to Liu, the buffer layer prevents catalyst from diffusing into the substrate and also prevents the metal underlayer from reacting with carbon source gas to, undesirably, form amorphous carbon instead of carbon nanostructures. In Liu, the process involves, inconveniently, annealing the substrate in air for 10 hours at 300-400 °C to form catalyst particles, via oxidation of the catalyst layer, prior to forming the nanostructures. Each catalyst particle acts as a seed to promote growth of a nanostructure. The method of Liu, however, does not permit control of the composition or properties of the nanostructures and the nanotubes produced are curved and disorganized.

[0023] An additional goal is fabrication of carbon based nano-electro mechanical structures (NEMS). Extensive theoretical analysis on two- terminal and three-terminal carbon based NEMS (C-NEMS) structures were performed by Dequesnes et al. (Dequesnes, M.; Rotkin, S. V.; Aluru,

N. R., Nanotechnology, 13(1), 120, (2002)) and Kinaret et al. (Kinaret, J. M.; Nord, T.; Viefers, S., Applied Physics Letters, 82(8), 1287, (2003)) respectively. The model developed by Kinaret et al. for three-terminal NEMS device consists of a conducting carbon nanotube (CNT) placed on a terraced Si substrate and connected to a fixed source electrode which they have called it "nanorelay." Recently Lee et al. ( Lee, S. W. L., et al., Nano Letters, 4(10), 2027, (2004)) have demonstrated the characteristics of such three terminal nanorelay structures experimentally. However, the experimental approach by Lee et al. for fabricating such devices is time consuming and the technology is heavily dependent on the sonicated CNF solutions which usually do not possess any control over the length and the diameter of the CNF: the functional part of the device. Therefore, it is desirable to develop a technology for fabricating such structures with predictable behavior.

[0024] Accordingly, there is a need for a method of growing carbon nanostructures on a metal substrate in such a way that various properties of the nanostructures can be controlled.

[0025] The discussion of the background to the invention herein is included to explain the context of the invention. This is not to be taken as an admission that any of the material referred to was published, known, or part of the common general knowledge as at the priority date of any of the claims.

[0026] Throughout the description and claims of the specification the word "comprise" and variations thereof, such as "comprising" and "comprises", is not intended to exclude other additives, components, integers or steps.

SUMMARY OF THE INVENTION

[0027] A nanostructure assembly comprising: a conducting substrate; a nanostructure supported by the conducting substrate; and a plurality of intermediate layers between the conducting substrate and the nanostructure, the plurality of intermediate layers including at least one layer that affects a morphology of the nanostructure and at least one layer to affect an electrical property of an interface between the conducting substrate and the nanostructure.

[0028] A multilayer interface between a catalyst and a substrate having: at least one layer to control morphology, and at least one layer to control an electrical interface between a nanostructure and base layer. In the multilayer interface, at least one layer is preferably of a semiconducting material such as silicon or germanium.

[0029] A nanostructure supported upon a metal substrate, wherein metal is interdiffused with a semiconducting layer between the nanostructure and the substrate.

[0030] The present invention also contemplates forming nanostructures at high temperatures but without prior annealing of a catalyst layer on which the nanostructures are grown. Preferably the temperatures employed are less than 750 °C.

[0031] The present invention also contemplates the formation of nanostructures formed not of carbon but of other solid state materials such as GaN 5 GaAs, InP, InGaN, ZnO, Si. In general, semiconducting nanostructures are based on a combination such as II- VI or IH-V materials from the periodic table of the elements. Examples of appropriate conditions for making such nanostructures are further described herein.

[0032] The present invention also contemplates a "lift-off method of fabricating individual fibers: lift-off of polymer layer to provide individual layers.

[0033] Nanostructures formed according to the present invention may be used as interconnects, current carrying conductors, anisotropic heat directing media, can be integrated into components: active/passive devices like diodes, transistors, capacitors, inductors, field emitting devices, optical devices, X-ray emitting devices, sensors, electrochemical probes etc.

[0034] By having a layer of material between the catalyst and the substrate, it is possible to influence the texture of the final catalytic particles and hence influence the growth mechanism and morphology of the grown nanostructures.

[0035] A precursor for a nanostructure assembly, comprising: a conducting substrate;

[0036] a catalyst layer; and a plurality of intermediate layers between the conducting substrate and the catalyst layer, the plurality of intermediate layers including at least one layer to affect morphology of a nanostructure to be formed on the catalyst layer and at least one layer to affect electrical properties of an interface between the support layer and the nanostructure.

[0037] A carbon nanostructure assembly comprising: a metal layer; a carbon nanostructure; and at least one intermediate layer between the metal layer and the carbon nanostructure, the at least one intermediate layer including a semiconductor material, a catalyst, and a metal from the metal layer.

[0038] A carbon nanostructure assembly comprising: a conducting substrate; a layer of amorphous silicon on the conducting substrate; and a layer of catalyst on the layer of amorphous silicon, wherein the carbon nanostructure is disposed on the catalyst.

[0039] A carbon nanostructure, comprising: a substantially straight generally cylindrical carbon nanostructure having a conical angle less than 2 degrees.

[0040] An array of carbon nanostructures supported on a substrate, wherein each carbon nanostructure in the array comprises: a conducting substrate; a plurality of intermediate layers on the conducting substrate; a catalyst layer on the intermediate layers; and a carbon nanostructure on the catalyst layer, wherein said each carbon nanostructure is spaced apart from any other carbon nanostructure in the array by between 70 nm and 200 nm.

[0041] A method of forming a nanostructure, comprising: depositing a layer of semiconducting material on a conducting substrate; depositing a catalyst layer on the semiconducting layer; without first annealing the substrate, causing the substrate to be heated to a temperature at which the nanostructure can form; and growing a nanostructure on the catalyst layer at the temperature.

[0042] A method of forming a nanostructure precursor, comprising: depositing a sacrificial layer on a conducting substrate; forming a plurality of apertures in the sacrificial layer; depositing an intermediate layer of semiconducting material over the sacrificial layer and on the substrate in the apertures; depositing a catalyst layer over the intermediate layer; and lifting off the sacrificial layer to leave portions of the intermediate layer and catalyst layer corresponding to the apertures on the substrate.

[0043] An electron beam writer, comprising: a support; an insulating layer on the support; a third layer of material on the insulating layer, arranged to form a cavity; a metal electrode on the insulating layer, in the cavity; a nanostructure built upon the metal electrode; and an electrode layer deposited on the third layer of material.

[0044] An electron beam writer, comprising: a nanostructure having a base and a tip, wherein the base is affixed to a first electrode; a plurality of second electrodes disposed around the nanostructure; and electrical circuitry that connects the first electrode to the plurality of second electrodes, and is configured to: cause a voltage difference to arise between the first electrode and the plurality of second electrodes; cause electrons to be emitted from the tip; and cause the tip to move in space towards one of the plurality of second electrodes.

[0045] A field emission device, comprising: a plurality of pixels, wherein each pixel comprises: a conducting substrate; a plurality of nanostructures deposited on the conducting substrate, wherein a plurality of intermediate layers between the nanostructures and the conducting substrate includes at least one layer of semiconducting material; and wherein the conducting substrate forms an electrode that is in electrical communication with a voltage source and second electrode; and wherein the second electrode has a coating of phosphor; and wherein upon application of a voltage between the conducting substrate and the second electrode, the nanostructures emit electrons towards the phosphor coating.

BRIEF DESCRIPTION OFTHE DRAWINGS

[0046] FIG. 1 shows a schematic of a carbon nanofiber.

[0047] FIG. 2 shows a flow-chart of an overall process according to the present invention.

[0048] FIGS. 3 A and 3B show various configurations of the present invention.

[0049] FIG. 4 shows a multilayer stack between a metal layer and a nanostructure, and having various segments of different functionalities.

[0050] FIG. 5 shows a step in creation of an individual nanostructure.

[0051] FIG. 6 shows an individual nanostructure with a single layer between the nanostructure body and a metal substrate.

[0052] FIG. 7 shows an individual nanostructure.

[0053] FIG. 8 shows an individual nanostructure having a multilayer stack.

[0054] FIG. 9 shows an embodiment of a nanostructure.

[0055] FIG. 10 shows an intermediate stage in a process of making a nanostructure.

[0056] FIG. 11 shows an example of growth of a nanostructure.

[0057] FIG. 12 shows layers that control properties of an individual nanostructure.

[0058] FIG. 13 shows an individual nanostructure as part of an electric circuit.

[0059] FIG. 14 shows an electric circuit configured to use a carbon nanostructure.

[0060] FIG. 15 shows an individual nanostructure as part of an electric device.

[0061] FIG. 16 shows an individual nanostructure as an electric/optical device.

[0062] FIG. 17 shows an individual nanostructure as part of a Schottky Barrier.

[0063] FIG. 18 shows an individual nanostructure as part of a Schottky Barrier.

[0064] FIG. 19 shows an energy level diagram for an interface in a structure according to FIG. 18.

[0065] FIGs. 20A - 20C show various views of a field emission device using nanostructures according to the present invention.

[0066] FIGs. 21 A - 21 C show various embodiments of an electron-beam emitter using nanostructures according to the present invention.

[0067] FIGs. 22A - 22D show various embodiments of electrode configurations in an electron-beam writer using nanostructures according to the present invention.

[0068] FIG. 23 shows an electron-beam writer configured to write on a substrate.

[0069] FIG. 24 shows a horizontal configuration of an electron-beam writer.

[0070] FIG. 25 shows an exemplary electron-beam writer using a nanostructure.

[0071] FIG. 26A is a transmission electron microscopy (TEM) micrograph of a carbon nanofϊber grown on a tungsten underlayer. FIG. 26B shows: (a) TEM micrograph of a nanofiber grown on a W metal underlayer; (b) a corresponding EDS spectrum taken at the tip of the fibers (catalyst region); and (c) an EDS spectrum taken at the base of the fibers (underlayer region).

[0072] FIGs. 27A & B show schematics of a layers on a conducting underlayer on a support, with Si as intermediate layer (FIG 27A), and Ni catalyst deposited directly on the metal underlayer (FIG. 27B).

[0073] FIG. 28: SEM micrographs of metal underlayers after growth sequence. Only W and Mo metal underlayers facilitated appreciable CNT growth. In this set of experiments Ni was evaporated directly on the metal underlayers. Standard growth conditions (V B = -400 V, C 2 H 2 :NH 3 =1:5, time =15 min., T=700 0 C) were used for all cases. All scale bars are 1 μm except

FIG. 27(c).

[0074] FIG. 29. Density of individual nanostructures μm ~2 area for the case of Mo and W metal underlayers without amorphous Si layer.

[0075] FIG. 30. SEM micrograph of the samples after 15 min. of CVD growth. The presence of Si facilitated the growth of nanotubes on some metal underlayers which was not possible in the previous set of experiments. Standard growth conditions (V B = -400 V, C 2 H 2 :NH 3 = 1 :5, time =15 min, T=700 °C) were used for all cases. All scale bars are 1 μm.

[0076] FIG. 31. Particle size distribution for four most promising metal underlayer samples: (a) platinum; (b) palladium; (c) tungsten; (d) molybdenum. The nanotube diameter distribution was plotted averaging three different images as shown in FIG. 29 for each metal underlayer.

[0077] FIG. 32. Top-view SEM images of CNTs grown on (a) platinum; (b) palladium; (c) tungsten; (d) molybdenum. The middle inset (e) is a side view image showing the growth of very thin tubes (<10 nm) among thick tubes. All scale bars are 100 nm.

[0078] FIG. 33. Size distribution of CNTs: (a) metal underlayer with amorphous Si layer; square — platinum — 390 counts μm ~2 ; circle — palladium — 226 counts μm "2 ; up-triangle — tungsten — 212 counts μm "2 ; downtriangle — molybdenum — 89 counts μm ~2 and (b) metal underlayer without amorphous Si layer; square — molybdenum — 5 counts μm "2 ; circle — tungsten — 73 counts μm "2 .

[0079] FIG. 34: Equivalent circuit diagram of the electrical measurements: (a) metal-metal configuration; (b) metal-CNT configuration; (c) CNT-CNT configuration.

[0080] FIG. 35: (a) I-V characteristics of metal underlayers for CNT-metal configuration on samples with an amorphous Si layer; inset: the same measurements for samples without the Si layer, (b) Conductance deviations for samples with the amorphous Si layer, plotted in log-log scale. The straight dotted line represents the metal— metal conductance for different metal underlayers. Current is dominated by surface leakage if the conductance value is above the dotted line and poor contacts are considered if it is below the dotted line. Circle — metal-metal configuration; square — CNT-CNT configuration; triangle — CNT-metal configuration.

[0081] FIG. 36: SEM micrograph of grown fibers on a W metal underlayer. (a) Represents the fibers grown from 100 nm dots with 500 nm pitch. All catalyst dots nucleated for growth of more than one fiber. Inset shows no break up of the catalyst after heating, (b) After growth when Ni catalyst was deposited on W directly. No growth is observed, (c) Fibers grown from

prefabricated 50 nm dots with 1 μm pitch. Most of the dots nucleated to grow individual fibers, (d) Individual fibers grown from 50 nm prefabricated catalyst dots with 500 nm pitch.

[0082] FIG. 37: SEM micrograph of grown fibers on Mo metal underlayer. (a) Represents the fibers grown from a film of Ni/a-Si catalyst layer, (b) Grown fibers from a 2 μm catalyst stripe. Inset picture is taken from the middle of the stripe, (c) Fibers grown from prefabricated 100 nm dots. Most of the dots nucleated to grow more than one fiber, (d) Individual fibers were grown from 50 nm prefabricated catalyst dots.

[0083] FIG. 38: Sequential presentation of the results at different stages of the fabrication procedures: (a) after lithography and metal deposition where 1200 μC cm-2 dose was applied, (b) after an annealing step before growth of CNF. A high resolution image of a dot is shown in the inset (c) after growth of CNFs at 700 °C for 20 min (from 60° tilted substrates) and (d) after a growth step of CNFs where no intermediate amorphous Si layer was applied, resulting in no growth of CNFs.

[0084] FIG. 39: Diameter as a function of dose for dots after the lithography step. A linear fit of the measured values is indicated by a straight line.

[0085] FIG. 40: SEM micrograph of the grown CNFs for dose scale 800 μC cm "2 for three different metal underlayers. The column corresponds to 1 μm and 500 nm pitch respectively. Micrographs are taken from 60° tilted substrates. All scale bars are 1 μm.

[0086] FIG. 41 : SEM micrograph of the grown CNFs for dose scale 1200 μC cm "2 for three different metal underlayers. The column corresponds to 1 μm and 500 nm pitch respectively. Micrographs are taken from 60° tilted substrates. All scale bars are 1 μm.

[0087] FIG. 42: Tip diameter of grown CNFs as a function of the catalyst diameter. Error bars indicate the standard deviation from the average value. The trend of the average value is indicated by a dashed-dotted line for the W substrate.

[0088] FIG. 43: Average length distribution is plotted as a function of the catalyst diameter for different metal underlayers. Error bars represent the corresponding standard deviation.

[0089] FIG. 44: Pitch induced limitations on high density growth of CNFs: (a) no predominant catalyst cluster conglomeration is present after the annealing step (top view), and (b) forest-like growth of CNFs after growth resembles the growth from the film of the catalyst (from

60° tilted substrate).

DETAILED DESCRIPTION

Overview

[0090] The present invention is directed to processes for making nanostructures, singly, or in arrays, on a conducting substrate. In particular, the processes of the present invention permit choices of material, and sequences of materials, lying between the substrate and the base of the nanostructure, to control various properties of the interface between the nanostructure and the substrate, properties of the body of the nanostructure, and the composition of the tip of the nanostructure. It is preferable that the nanostructures form columns that grow perpendicularly, or almost perpendicularly up from the substrate. However, this does not exclude the possibility to grow the nanostructures at other angles from the substrate such as on the substrate, (i.e. . , parallel to the substrate), or at an inclined angle other than 90°.

[0091] Accordingly, the present invention relates to: a method of growing/depositing nanostructures utilizing existing CMOS technology; a method of growing nanostructures on CMOS compatible conducting substrates and glass substrate and flexible polymer substrates used in areas that utilize thin film technology; a method to control the chemical interactions and hence controlling the end chemical compounds in the nanostructures; and a method to control the chemical reactions by having multilayer material stacks consisting of at least one intermediate layer between the substrate and a catalyst layer, wherein the intermediate layer is not of the same material as either the catalyst layer or the conducting substrate.

[0092] The present invention therefore provides a method for integrating nanostructures into CMOS technology and to achieve downscaling, higher component density and new functionality in, e.g., integrated circuits.

[0093] The ability to grow nanostructures on different metal underlayers (metal substrates) is important for several other reasons, including the fact that the identity of the metal is an additional parameter that can be tuned to control the parameters of grown nanostructures such as height, diameter, density, etc., and because different metal work functions can be exploited to control the height of the Schottky barrier between the metal underlayers and the nanostructures, thus permitting control over device functionality.

[0094] By controlling the choice of material stacks and the sequence of different materials, the layers in a stack can be used to control properties of the grown/deposited nanostructures.

[0095] In particular, by varying the materials and sequence of the materials the properties of the following can be controlled: the interface between the nanostructure and the substrate can be controlled to have properties that include, but are not limited to, Ohmic barriers, Schottky contacts, or controllable tunneling barrier(s); the body of the nanostructures; and the chemical composition of the tip of the nanostructures.

[0096] By controlling the properties of these three parts (the interface, the body, and the tip), different structures, components and devices can be fabricated which can be used in different applications. By controlling the properties of these three parts in combination with different structures, components and devices, different functionality can be achieved. For example, the tip of the nanostructure can be tailored to have a particular chemical property, or composition. Such tailoring permits the tip of the nanostructure to be functionalized in different ways.

Nanostructures

[0097] The nanostructures formed by the methods of the present invention are preferably made predominantly from carbon. However, other chemical compositions are consistent with the methods of the present invention and are further described herein.

[0098] Nanostructures as referred to herein, encompass, carbon nanotubes, nanotubes generally, carbon nanostructures, other related structures such as nanofibers, nanoropes, and nanowires, as those terms are understood in the art.

[0099] By carbon nanotube (CNT), is meant a hollow cylindrical molecular structure, composed principally of covalently bonded sp -hybridized carbon atoms in a continuous network of edge-fused 6-membered rings, and having a diameter of from about 0.5 to about 50 nm. Typically a nanotube is capped at one or both ends by a hemispherical carbon cap having fused 5- and 6-membered rings of carbon atoms, though the nanotubes of the present invention are not necessarily capped. Carbon nanotubes may be, in length, from a few nanometers, to tens or hundreds of microns, to several centimeters.

[0100] The typical make-up of a CNT is analogous to a sheet of graphitic carbon wrapped on itself to form a closed surface, without any dangling bonds. Thus, CNT's typically consist of a closed network of 6-membered carbon rings, fused together at their edges. Most CNT's have a chirality that can be envisaged as arising if a sheet of graphitic carbon is sheared slightly before it is bended back on itself to form a tube. CNT's of any chirality may be formed by the present invention. It is also consistent with the present invention, however, that the carbon nanotubes also

may have a number of 5-membered rings, fused amongst the 6-membered rings, as is found in, for example, the related "fullerene" molecules, and where necessary to, for example, relieve strain or introduce a kink. Carbon nanotubes have electrical properties that range from metallic to semiconductors, depending at least in part on their chirality.

[0101] By suitable choice of materials lying in between the substrate and the base of the nanostructure, and their sequence, the morphology of the nanostructure that is formed can be tailred. Such nanostructures include, but are not limited to, nanotubes, both single-walled and multi-walled, nanofibers, or a nanowire. Such tailoring can arise from, e.g., the choice of texture of the catalyst layer that is positioned between the substrate and the nanostructure.

[0102] Carbon nanotubes made by the methods of the present invention may be of the single- walled variety (SWCNT' s), having a cylinder formed from a single layer of carbon atoms such as a single layer of graphitic carbon, or of the multi- walled variety (MWCNT' s), having two or more concentrically arranged sheaths of single layers. MWCNT' s may consist of either concentric cylinders of SWCNT' s or stacks of frusto-conical shaped single- walled structures.

[0103] A carbon nanofiber (CNF) is typically not hollow, but has a "herring-bone" or "bamboo"-like structure in which discrete segments of carbon fuse together one after another. The typical diameters ranges from 5 nm to 100 nm. A conical segment of catalyst containing material is typically found at the tip of such a nanofiber. Carbon nanofibers are thus not crystalline and have different electrical conductivity from carbon nanotubes. Carbon nanofibers are effective interconnects in electronic circuits because they support electric current densities of around 10 A/cm 2 . Carbon nanofibers thus have a higher atomic density, given by numbers of carbon atoms per unit volume of fiber, than the, hollow, nanotubes.

[0104] Carbon nanofibers made according to the present invention also can be generally straight, and have a conical angle < 2°, see FIG. 1, where the conical angle definition assumes that the base of the nanostructure is broader than its tip. Since an angle θ ~ tanθ when θ is small, the conical angle ~ (w\, — w t )/2 L, where w \> and w>t are the width of respectively the base and the tip of the nanostructure, and L is its length, measured along its axis.

[0105] A carbon nanorope has a diameter in the range 20-200 nm, and thus is typically larger in diameter than a carbon nanotube. A carbon nanorope is typically constructed by intertwining several nanotubes in a manner akin to the way in which a macroscopic rope consists of several strands of fiber bundled together. The various nanotubes in a nanorope may be twisted around

one another or may line up substantially parallel to one another; the individual nanotubes are held together principally by van der Waals forces. Such forces, although individually weaker than a covalent bond between a pair of atoms, are in the aggregate very strong when summed over all of the pairs of atoms in adjacent tubes

The Interface

[0106] According to the present invention, by suitable choice of materials and their sequence, the interface between the base of the nanostructure and the substrate can be chosen to have various electrical properties. For example, it can be chosen to be an ohmic contact, a Schottky barrier, or a controllable tunnel barrier.

[0107] An Ohmic contact is a metal-semiconductor contact with very low resistance, independent of applied voltage (and which may therefore be represented by a constant resistance). The current flowing through an ohmic contact is in direct proportion to an applied voltage across the contact as would be the case for an ohmic conductor such as a metal. To form an ohmic contact, the metal and semiconductor must be selected such that there is no potential barrier formed at the interface (or so that the potential barrier is so thin that charge carriers can readily tunnel through it).

[0108] A Schottky barrier is a semiconductor-metal interface in which the metal- semiconductor contact is used to form a potential barrier.

[0109] A tunnel barrier is a barrier through which a charge carrier, such as an electron or a hole, can tunnel.

[0110] FIG. 2 is a flow-chart that describes in overview a process of making nanostructures on a substrate according to the present invention. First, one chooses a stack material, step 10. Then, a stack is created from the chosen materials, step 20, for example by deposition, sputtering or evaporation on to a substrate. Then, nanostructures are grown on the stack, step 30, for example in a growth/deposition chamber. Finally, the structure is incorporated into a device, by one or more additional fabrication techniques, step 40.

[0111] Chemical Vapor Deposition (CVD) is the preferred method for growth of nanostructures according to the present invention. However, there are different kinds of CVD methods that can be used, e.g., thermal CVD, PECVD, RPECVD, MOCVD (metallo-organic CVD), etc. It would be understood by one of ordinary skill in the art, that other variants of CVD

are compatible with the present invention and that the practice of the present invention is not limited to those methods previously referenced.

[0112] It is preferable that the substrate for use with the present invention is a conducting substrate. Accordingly, it is preferably a metal, or a metal alloy substrate.

[0113] By the methods of the present invention, step 10 can influence the properties of the nanostructures that are grown. In particular, the nature and properties of the nanostructure are governed by the nature and extent of interdiffusion of the layers between the substrate and the nanostructure. Permitting interdiffusion can control the diameter and morphology of the nanostructure, the number of nanotubes that grow per unit area of substrate, as well as the density of a nanostructure, and the electrical properties of the interface. On the other hand, using materials that impede diffusion between the substrate and the carbon nanostructure can control chemical interactions with the interface materials on both sides of the material, as well as the electrical properties of the interface.

[0114] The layers of materials in the stack can be deposited as a continuous film in the case where it is desired to grow many, e.g., an. array of several hundreds or many thousands of, nanostructures on a single substrate. A patterned film can also be used to control the properties but in specific localized areas, leading to fabrication of individual devices. The deposited film thickness may vary from 0.5 run to more than 100 run, e.g., as much as 150 ran, 200nm, or even 500 nm, depending on the substrate underneath. Preferably, however, the thickness is from 1 to 10 nm, and even more preferably, from 5 to 50 nm.

[0115] The nanostructures of the present invention can also be grown individually rather than as a dense "forest". For example, such nanostructures may be discrete carbon fibers. This is the case where catalyst layer and sizes are defined by lithography for example. For the case where a continuous film (in the form of stripes and squares larger than 100 nm X 100 nm) is used, more densely packed structures are possible (approximately 15 nm spacing between two adjacent nanostructures is preferred). In such continuous film configurations, the packing density and resulting diameter of the nanostructures can however be controlled by the choice of support layer.

[0116] In particular, the body of the nanostructures can be designed to be structures that include: hollow with electrical properties such as semiconducting or metallic; not hollow with different electrical properties (mainly metallic); hollow with different mechanical properties; and not hollow with different mechanical properties.

Controlling Nanostructure properties

[0117] The present invention encompasses nanostructures grown from substrates, and interface layers situated therebetween, having the following characteristics. The substrate is preferably a metal layer, which maybe disposed on a support. The support is typically a wafer of silicon or other semiconducting material, glass, or suitable flexible polymer used in thin film technology. The metal is preferably selected from the group consisting of molybdenum, tungsten, platinum, palladium, and tantalum. The thickness of the metal layer is preferably in the range 1 nm to 1 μm and even more preferably in the range 1 nm to 50 nm. The metal layer is preferably deposited by any one of several methods known in the art, including but not limited to: evaporative methods such as thermal or vacuum evaporation, molecular beam epitaxy, and electron-beam evaporation; glow-discharge methods such as any of the several forms of sputtering known in the art, and plasma processes such as plasma-enhanced CVD; and chemical processes including gas-phase processes such as chemical vapor deposition, and ion implantation, and liquid-phase processes such as electroplating, and liquid phase epitaxy. Examples of deposition technologies are found in Handbook of Thin Film Deposition, K. Seshan, Ed., Second Edition, (William Andrew, In., 2002).

[0118] The interface layers, also called intermediate layers or an intermediate layer, comprise one or more layers, in sequence, disposed upon the substrate. On top of the interface layers is a layer of catalyst. The nanostructure is grown from on top of the catalyst layer.

[0119] The interface layers may consist simply of a single layer of material. In this circumstance, the single layer is preferably silicon or germanium. The layers can be deposited in the form of amorphous or crystalline by techniques such as evaporation, or sputtering. The preferable thickness ranges from 1 nm to 1 μm and even more preferably in the range 1 nm to 50 nm.

[0120] The interface layers may comprise several layers of different materials and may be, arbitrarily, classified according to function. For example, the layers in the vicinity of the substrate are characterized as layers that influence the electrical properties of the interface. The layers in the vicinity of the catalyst are characterized as layers that influence the composition and properties such as electrical/mechanical properties of the nanostructure.

[0121] Various configurations of interface layers are compatible with the present invention. For example, a sequence of up to 3 layers may be deposited on the substrate, for the purpose of controlling the electrical properties of the interface. Such configurations include, but are not

limited to: a sequence of insulator, conductor or semiconductor, and insulator; a sequence of insulator adjacent to the substrate, and a semiconducting layer; a sequence of semiconductor, insulator, semiconductor; a sequence of two insulating barrier layers adjacent to the substrate, and a semiconductor; a single layer of a metal that is different from the metal of the substrate; and a sequence of a metal that is different from the metal of the substrate, and a semiconducting layer. In such configurations, the insulator may be selected from the group consisting of: SiO x , Al 2 O 3 , ZrO x , HfO x , SiN x , Al 2 O 3 , Ta 2 O 5 , TiO 2 , and ITO. The semiconductor may be silicon or germanium. The metal, where present, may be palladium, platinum molybdenum or tungsten. Where two layers of the same character are present, e.g., two semiconducting layers, it is not necessary that the layers have the same composition as one another.

[0122] The uppermost layer of the foregoing interface layers may itself abut against the catalyst layer. This is particularly the case where the uppermost layer is a semiconductor such as silicon or germanium. However, it is additionally possible for the foregoing interface layers to have disposed upon them a further layer or sequence of layers that lies between them and the catalyst layer. Such additional, or second, interface layers are thought of as controlling the properties and composition of the nanostructure. The second interface layers may be a pair of layers, such as a metal layer and on top thereof a semiconductor layer adjacent to the catalyst layer. Alternatively, the second interface layers may simply consist of a single layer of semiconductor. The metal layer, where present in the second interface layers, is preferably selected from the group consisting of tungsten, molybdenum, palladium, and platinum. The semiconducting layer in the second interface layers is preferably silicon or germanium.

[0123] The catalyst layer is typically a layer of metal or metal alloy, and may contain very fine particles of metal or metal alloy instead of being a continuous film. The catalyst layer preferably comprises a metal selected from the group consisting of nickel, palladium, iron, nickel-chromium alloy containing nickel and chromium in any proportions, and molybdenum.

[0124] The invention is primarily focused on a multi-stack configuration of at least one material layer between the catalyst layer and the conducting substrate, wherein the material is not of the same kind as the catalyst and conducting substrate, and wherein the material controls the chemical reactions between the various layers. Thus, the growth of the nanostructures on different conducting substrates can be controlled. Thereby the morphology and properties of the grown structures as well as the tip materials of the grown structures can be controlled. The current invention can be extended to having several stacks of materials of different kinds

(semiconducting, ferroelectric, magnetic, etc.) which can be used to control the properties at base/interface, body and the tip of the nanostructure. It is also possible that the nanostructure is grown upon a conducting layer which is itself deposited on a substrate that itself can be of any kind, such as conducting, insulating or semiconducting.

[0125] High-k dielectric materials are mainly used as gate materials for CMOS devices. In the present invention such materials are utilized in part in multi-layer stacks to define the properties of the grown nanostructure as well as to control the interface properties between the nanostructure and the conducting layer.

[0126] According to the methods of the present invention, the presence of two or more intermediate layers will influence the texture/crystallographic structures of each other and the final catalyst particles.

[0127] Accordingly, the present invention preferably includes a conducting layer, at least one intermediate layer directly on the conducting layer, at least one catalyst layer directly on the intermediate layer, and a nanostructure on the catalyst layer.

[0128] The substrate may be a disposed on a support commonly used in semiconductor processing, such as a silicon wafer, or oxidized silicon wafer. The support may alternatively be a glass or metal or thin flexible polymer film used in the thin film technology as substrate.

[0129] It is to be understood that the at least one intermediate layer is chosen to control various electrical properties of the interface between the substrate and the carbon nanostructure.

[0130] It is further to be understood that the choice of at least one catalyst layer controls various properties of the carbon nanostructure.

[0131] The grown nanostructures are preferably carbon-based materials such as carbon nanotubes (CNT), and carbon nanofibers (CNF). Carbon nanostructures form when the entire structure is placed in a mixture of carbon-containing gases. Preferred gases are hydrocarbons such as CH 4 , C 2 H 2 and C 2 H 4 , and generally aliphatic hydrocarbons having 5 or fewer carbon atoms, of any level of saturation.

[0132] The nanostructures can also be of different semiconducting materials referred to as III- V, or II- VI materials, such as LnP, GaAs, AlGaAs, depending on the choice of catalyst and subsequent chemical chamber conditions used. . Keeping all the other materials stack same as for a carbon nanostructure described herein, simply changing the catalyst type and or composition

of gases can facilitate growth of these non-carbon nanostructures. Therefore without deviating from the other aspects of the invention described herein, a person of ordinary skill in the art can grow different kinds of solid state nanostructures. Examples of conditions for forming such nanostructures are as follows.

[0133] SiC nanostructures: chambers - MOCVD (metallo organic CVD); gas composition - dichloromethylvinylsilane [CH 2 CHSi(CH 3 )Cl 2 ]; catalyst -Ni; and temperature: 800-1200 °C.

[0134] Si nanostructures: chamber type - vapor-liquid-solid (VLS)/CVD; gas composition - SiH 4 , Si 2 H 6 ; catalyst -Ni; and temperature 500 - 1000 0 C.

[0135] InP/GaP nanostructures: chambers - MOCVD/CVD; gas composition- elemental indium and gallium with triphenyl phosphine, trimethyl-gallium and N 2 ; catalyst; and temperature: 350-800 0 C.

[0136] GaN nanostructures: chambers - MOCVD (metallo organic CVD); gas/ composition - elemental gallium and ammonia gas; catalyst— Ni; and temperature: 800 - 900 °C.

[0137] ZnO nanostructures: chambers - MOCVD/CVD; gas composition — oxidation of Zinc carrying elements; catalyst — Ni; temperature 30 — 700 °C.

[0138] The grown nanostructures for materials other than carbon can be of the form of forests consisting of uniform structures covering the substrate area and/or arrays, or individual structures.

[0139] The choice of catalyst plays an important role because the growth of carbon nanostructures is ordinarily catalytically controlled. Since the crystallographic orientation of the catalysts partakes in defining the morphology of the nanostructure , it is expected to obtain different growth mechanisms from different types of catalysts. Besides catalyst crystallographic orientation, there are many other growth conditions that influence the structure formation, such as the mixture of gases, current density for the case when plasma density is controlled, voltage between the cathode and anode, temperature of the substrate, chamber pressure, etc. (see, e.g., Kabir, M. S.; Morjan, R. E.; Nerashev, O. A.; Lundgren, P.; Bengtsson, S.; Enokson, P.; and Campbell, E. E. B., Nanotechnology 2005, (4), 458) incorporated herein by reference).

[0140] FIGs. 3 A and 3B show an overview of various structures according to the invention. FIG. 3A shows how a carbon nanostructure having a tip 110, body 120 and a base 130, and made by processes described herein, can be positioned vertically on a metal substrate as in the left-hand side of FIG. 3 A, or horizontally on an insulating substrate as in the right-hand side of FIG. 3 A.

Positioning on an insulating substrate will allow for further processing for making functional devices. The bottom substrate (not shown) underneath the insulating layer can be used as a bottom gate dielectric and the substrate underneath the oxide as bottom gate electrode to e.g., modulate the resistance of a semiconducting nanostructure. See FIG. 3B.

[0141] FIG. 3B shows various configurations of one or more intermediate layers 210 between a conducting substrate 200 and a catalyst layer 220. The invention proposes a platform comprising at least one material stack (denoted, e.g., layer 1) between the catalyst layer and the conducting substrate. The purpose of the multiple materials stacks (denoted, e.g., layer 1, layer 2, ...layer n) is to control the interface properties between the conducting substrate and the grown nanostructures (for example, ranging from Ohmic contact to Schottky barrier), the properties of the grown nanostructures (morphology, mechanical, and electrical properties), and the properties of the tip 110 of the grown nanostructures.

[0142] FIGs. 5 and 6 show embodiments of a device having a single intermediate layer. In FIG. 5, in another embodiment, a metal layer 510 is on a wafer 520; an intermediate layer of silicon 530 is on the metal layer; and a catalyst layer 540, typically Ni, or Fe, or others such as NiCr or, Pd, is on the intermediate layer. Together, layers 530 and 540 are referred to as the interface.

[0143] In FIG. 6, another typical individual nanostructure is shown. In this structure, a metal layer 610 is on a wafer 620; an interface 630 between the metal layer and a body of a nanostructure 640 is formed from an intermediate layer of semi-conducting material 645 such as silicon. The tip 650 of the nanostructure contains a mixture of materials, including principally catalyst that has diffused up the body of the nanostructure as the nanostructure has grown, and also some metal.

[0144] FIG. 4 shows a representative embodiment having a multilayer stack supporting a partially formed nanostructure 499. A metal layer 410 acts as a substrate, and is disposed on a support 420, e.g., a. wafer of silicon. A 3 -layer stack acts as an intermediate layer between the metal substrate and a second stack of catalytic layers and controls the electrical properties of the interface. The intermediate layer has, in order, starting with a layer in contact with the metal: a first control layer 430, of e.g. , SiO x , or Al 2 O 3 ; on top of the first control layer is a metal/semi- metal layer 440, e.g., Ge; on top of the metal/semi-metal layer is a second control layer 450 of, e.g. , ZrO x or HfO x or any other material with high k dielectric value such as SiN x , Ta 2 O 5 , Al 2 O 3 , and TiO 2 . The subscript 'x' in a chemical formula denotes a variable stoichiometry, usually

controllably variable. The two control layers control diffusion from respectively the metal/semi- metal layer into the substrate and into the catalyst stack. The thickness and composition of the two control layers provide two variables with which such control may be achieved. The thickness for a single layer ranges from less than 10 nm to several hundreds of nanometer and the thickness of the total material stack ranges from less than 10 nm up to microns and above. Together, the first control, metal/semi-metal, and second control layers permit control of electrical properties of the interface between the metal and the carbon nanostructure. To obtain different electron/hole tunneling properties, it is a matter of choosing different oxides to give a variation of electrical tunneling properties and hence varying electrical properties of the interface between the nanostructure and the base substrate 410. Principally, such choices are determined by the dielectric constant of the control layer materials such as oxides.

[0145] Also referring to FIG. 4, a multilayer stack disposed on the second control layer controls properties of the carbon nanostructure that grows above it. In the example shown, adjacent to the second control layer is a first metal layer 460, e.g., tungsten, molybdenum, palladium, platinum; adjacent to the first metal layer is a silicon layer 470; and on top of the silicon layer is a second metal layer 480 composed of, e.g., nickel or palladium.

[0146] FIG. 7 shows another embodiment of a nanostructure having a tip 610, a body 620, and an interface 630. A metal layer 640 is disposed on a wafer 650 and consists of a metal selected from the group consisting of molybdenum, tungsten, platinum, tantalum, and palladium. A two- layer interface 630 is on the metal layer 640 and has a first intermediate layer 660 of oxide, such as SiO x , ZrO x , HfO x , or TiO x ; a second intermediate layer 670, composed of silicon, is disposed on the first intermediate layer and is in contact with the body of the nanostructure. The tip 610 of the nanostructure contains Ni, Fe, Mo, or Pd, or an alloy such as NiCr or a mixture of the materials found in the material stack. The metal content of the tip originates with a layer of catalyst (not shown in FIG. 7) that was situated between the uppermost intermediate layer and the bottom of the nanostructure.

[0147] FIG. 8 shows another nanostructure having a tip 710, a body 720, and an interface 730 which comprises a multi-layer stack. A metal layer 740 is disposed on a wafer 750. A three-layer interface 730 is on the metal layer 740 and has a first intermediate layer 760 of semi-metal such as germanium; a second intermediate layer 770 of oxide, such as SiO x , ZrO x , HfO x , or TiO x ; and a third intermediate layer 780, composed of silicon, which is in contact with the body of the

nanostructure. The tip of the nanostructure contains Ni, Fe, Mo, or Pd 5 or an alloy such as NiCr or a mixture of the materials found in the interface.

[0148] FIG. 9 shows another embodiment of a nanostructure: a metal layer 910 is disposed on a wafer 920; an interface 930 having three intermediate layers is disposed on the metal layer 910. The three intermediate layers, in sequence moving away from the metal, are: a second barrier layer 940, a first barrier layer 950 and a semiconducting layer 960, in contact with the body of the nanostructure 970. The first barrier layer can be used as a material diffusion barrier upwards/downwards, and the second barrier layer can be used as defining the electrical tunnel barrier. The body of the nanostructure can have electrical properties either as a semiconductor or a conductor. The tip 980 of the nanostructure contains catalyst.

[0149] As is seen from FIGs. 6-9, catalyst diffuses into the body of the nanostructure during growth initiation. This process is described in further detail in FIG. 10. In FIG. 10, a metal underlayer 1010 of a metal such as W, Mo, Pt, Pd,. is on a wafer 1020. An intermediate layer of a semiconducting material 1030 such as silicon or germanium, or a compound of IH-V elements from the periodic table, is on the metal underlayer. A catalyst layer 1040 having a metal such as Ni, Fe, Co, or an alloy such as NiCr is on the intermediate layer.

[0150] A stage during growth of the nanostructure is shown in the right-hand panel of FIG. 10. An expanded view of the metal underlayer is shown. An interface 1060 between the metal underlayer and the body 1050 of the growing nanostructure contains an alloy of catalyst with metal underlayer, metal suicides, and the metal underlayer itself.

[0151] The intermediate layer 1030 is used to start the growth process. However it diffuses into the metal underlayers creating metal compounds such as metal-silicides if the intermediate layer is silicon, which function as Ohmic contacts with the metal underlayer. Accordingly the nanostructure is grown by direct contact with metal underlayer where no intermediate layer is present in between the initial catalyst and metal underlayer. A small portion of catalyst is present at the bottom. The tip consists of catalyst rich metal underlayer: a large portion of catalyst is present at the tip of the nanostructure together with a small portion of metal underlayer.

[0152] In FIG. 11, an embodiment of nanostructure growth uses a tungsten (W) metal underlayer 1110 on a wafer 1120. A stack having a layer of silicon 1130 on top of the metal underlayer, and a layer of nickel 1140 on top of the silicon is in contact with a growing nanostructure 1180. The material stack conditions before growth (FIG. 11 , left hand panel) show

discrete layers. The material stack conditions after growth (FIG. 11, right hand panel) show that interdiffusion amongst the layers has occurred: there are now distinct regions of nickel-tungsten alloy 1150, tungsten-silicon alloy 1160, and undiffused tungsten 1170. It is also consistent with the conditions that the regions of, e.g., nickel and tungsten have a gradation of properties without a discontinuity in the concentrations of the respective metals or a sharp concentration gradient.

[0153] FIG. 12 shows a multilayer stack between a metal underlayer 1210 and a nanostructure body 1230. The multilayer stack comprises two interfaces, a first interface 1240 to control electrical properties of the interface, and a second interface 1250 to control physical properties of the nanostructure body. Metal underlayer 1210 is on a wafer 1220. First interface 1240 comprises two layers disposed on the metal control the electrical properties of the interface. A layer of germanium 1260 is directly on the metal 1210, and a layer 1270 of an oxide such as SiO x , ZrO x , HfO x , or TiO x is directly on the germanium. The oxide layer acts as a buffer. Two further layers, disposed on the oxide layer, serve to control physical properties of the body of the nanostructure. A first layer 1280 of silicon is directly on the oxide layer, and a layer 1290 of metal catalyst such as nickel, iron, or palladium is in between the silicon layer and the body of the nanostructure.

Process for forming nanostructures

[0154] The present invention further comprises a process for forming nanostructures. The process comprises first depositing an electrode on a substrate. The substrate, as further described herein, may be a wafer of silicon, and preferably has an insulating coating, such as an oxide, for example SiO 2 . The electrode functions as an underlayer for the nanostructure, and is made of a conducting material, preferably a metal such as molybdenum, niobium, or tungsten. The method of depositing the electrode can be any one familiar to one of ordinary skill in the art, but is preferably a method such as electron beam evaporation. The electrode layer is between 10 and 100 nm thick, and is preferably 50 nm thick.

[0155] Optionally, a resist is then deposited on the electrode layer. Such a resist is usually used for technologies that utilize lift-off processes for metal depositions. An exemplary resist is a double-layer resist consisting of 10% co-polymer and 2% PMMA resist, that is applied by consecutive spin coating and baking. The resist is then patterned/exposed by a radiation source, such as UV light or an electron beam, to transfer the design into the resist layer.

[0156] A catalyst layer, either as a sheet or as dots, is fabricated on the metal substrate or on the resist, where present. Dots of catalyst facilitate controlled growth of individual nanostructures

in precise locations. Catalyst dots may be constructed by electron beam lithography. Their dimensions can be controlled using the shot modulation technique. With this technique, catalyst dot sizes can be determined with nanometer precision, and dots as small as 5-10 nm in dimension can be formed. The catalyst layer is not heated during this stage.

[0157] On the catalyst layer, layers of other materials are deposited. Such layers include at least one layer of semiconducting material and may include at least one layer of a metal different from the metal of the underlying electrode. The semiconducting material is preferably deposited using an electron beam evaporator. The semiconducting material is preferably amorphous silicon, and the layer has a thickness of 5 - 100 nm, preferably 10 nm.

[0158] After the various layers, including one layer of semiconducting material, are deposited a layer of catalyst material is deposited, thereby forming an uppermost layer upon which nanostructures are ultimately fabricated. The catalyst layer is deposited by standard techniques known in the art such as electron beam evaporation or sputtering.

[0159] Optionally, if a resist has been applied, it can now be removed by a lift-off process, for example by washing the structures in acetone at 60 °C, followed by washing with iso-propyl alcohol. After these washings, the structures are rinsed in deionized water and blow-dried with nitrogen gas.

[0160] Nanostructures can now be grown upon the remaining areas where catalyst layers are exposed. The preferred technique for effecting such growth is plasma-enhanced chemical vapor deposition. As previously described herein, the composition of the vapor will determine the types of nanostructures that are grown. For example, carbon nanotubes can be grown at 5 mbar pressure in a (1 :5) mixture of C 2 H 2 :NH 3 gas. Growth of nanostructures typically occurs at high temperatures, in the range 600 - 1,000 °C, such as 700 °C. The substrate (with electrode, semiconducting material, and catalyst layers thereon) are brought up to such high temperatures by ramping the temperature up relatively rapidly. Exemplary rates are from 1 - 10 °C/s, preferred rates being in the range 3 - 6 °C/s. Such conditions have been referred to in the art as 'annealing', and preferably occur in a vacuum. A low vacuum (e.g. , 0.05 - 0.5 mbar pressure) suffices. The source gases for the nanostructures are introduced into the chamber when the maximum temperature is reached.

[0161] The nanostructures are typically cooled to room temperature before they are permitted to be exposed to air.

[0162] Control over individual nanostructure formation is thus achieved because specifically tailored catalyst dots are created, rather than relying on non-uniform break up of a layer of catalyst by prolonged heating prior to nanostructure formation.

Applications

[0163] Applications of carbon nanostructures made by the methods described herein include: construction of composites for use in structural engineering, as well as structures having high strength but light mass, as might be used for objects sent into outer space; electrochemical devices and sensors for diagnostics, as are used in the life sciences; research tools such as electron emitters, small size X-ray generators, and atomic force microscopy probes; and applications to circuitry components used in electronics such as interconnects, diodes, heat dissipative media, high frequency filters, optical devices such as light emitting diodes, wave guides, opto-electronic circuits, hydrogen storage devices, qubits for quantum computing, and super capacitors.

[0164] For example, FIG. 12 shows how an individual nanostructure can become part of an electric circuit. A 3 -layer stack 1310 controls properties of the interface and consists of a first diffusion barrier 1330, adjacent the metal 1320, and composed of SiO x or Al 2 O 3 or another dielectric material. An island 1340, of metal or semi-metal is situated between the first diffusion barrier and a second diffusion barrier 1350 of ZrO x or HfO x or choice of other dielectric material. A further 3 -layer stack 1360 controls properties of the interface and is on the second diffusion barrier layer 1350. A metal layer 1370 acts as a growth substrate to control the properties of the grown structures and is in contact with the second diffusion barrier 1350; a silicon layer 1380 is on the metal layer and a nickel or palladium catalyst layer 1390 is on the silicon layer. The silicon layer allows interdiffusion to control the properties of the grown structures. The carbon nanostructure 1395 is conductive in this example and is a carbon nanofϊber. Metal layer 1320 is on a wafer 1305.

[0165] FIG. 14 shows how the nanostructure of FIG. 13 would function in an electric circuit that contains a battery 1410 as a representative voltage source. The items labeled 1330, 1340, 1350, and 1395 in FIG. 14 correspond to similarly numbered items in FIG. 13.

[0166] FIG. 15 shows how an individual nanostructure can form part of an electronic device. The metal underlying layer 1510, is disposed on wafer 152O 5 and is for example tungsten and is a first instance of a first metal. A second metal, having a different work function from the underlying metal, for example is platinum, forms a layer 1530 disposed on the underlying metal layer. This second metal layer controls the electrical properties of the interface between metal

layer 1510 and the carbon nanostructure 1540. A second layer 1550 of the first metal is disposed on the layer of second metal. This layer and the two above it control the properties of the nanostructure. The two layers above layer 1550 are, in sequence, silicon 1560 , and iron 1570. The last of these is a catalytic layer. In this embodiment, the carbon nanostructure is a semiconductive carbon nanotube.

[0167] FIG. 16 shows how a nanostructure can form part of an electro-optical device such as a light emitting diode, or as the variable conducting channel like a transistor. A structure as in FIG. 15 is encapsulated in an insulator 1610 such as SiO x on each side, and on top a third metal 1620, such as tungsten or calcium, having a different work function than that of the bottom metal electrode 1630 in the figure. Metal layer 1510 is disposed in a wafer 1630. Carbon nanostructure 1540 is a semiconducting carbon nanotube in this example.

[0168] FIG. 17 shows how an individual nanostructure can form a Schottky barrier as part of an electrical device. A metal underlayer 1710 is disposed on a wafer 1720. On top of the metal underlayer 1710, which is composed of, e.g., tungsten, is a pair of layers that controls the electrical properties of the interface. A layer 1720 of a second metal, such as platinum, having a different work function from the metal of the metal underlayer 1710 is on top of the metal underlayer. A layer 1730 of a semiconductor such as germanium is on the layer of second metal. This combination of two metal layers and a semiconducting layer creates a Schottky barrier due to the mismatch of work function of different materials and therefore controls the electrical properties of the interface. Three further layers for controlling the properties of the nanostructure above, are disposed upon the semiconductor layer 1730. ha sequence, the three layers are: a layer 1750 of the first metal (in this instance tungsten), a silicon layer 1760, and lastly a nickel layer 1770 that functions as a catalyst. Disposed on the catalyst layer is a nanostructure such as a carbon nanotube or a carbon nanofiber.

[0169] FIG. 18 shows how an individual nanostructure can form a Schottky barrier as part of an electronic device. In this embodiment the lower segment, mentioned in the foregoing paragraph in connection with FIG. 17, and consisting of a metal and semiconductor layer, is excluded. The remaining segment consisting of material layers determining that the nanostructure has semiconducting properties is present: a metal layer 1820 is disposed on a metal underlayer 1810. The work function of the metal of layer 1820 is different from that for the metal underlayer 1810. On layer 1820 are, in sequence, a semiconductor layer 1830, of e.g., silicon, and a catalyst layer 1840 of e.g., iron. This embodiment consists of a semiconducting nanostructure 1850 grown

on metal underlayer will create a Schottky barrier due to mismatch of work function of the metal and the band gap of semiconducting nanostructure. FIG. 19 shows a schematic representation of Schottky Barrier formation between the contact metal and the nanotube for a device as shown in FIG. 18, according to two types of metal electrodes: (a) for a large work function metal, and (b) for small work function metal. In the former case, the Fermi level E F of the electrode metal is close in energy to the valence band Ey of the carbon nanostructure (denoted SWCNT), and holes pass easily across the interface from the metal to the carbon nanostructure. In the latter case, the Fermi level of the electrode metal is close to the conduction band Ec of the carbon nanostructure, and electrons pass easily across the interface from the metal to the carbon nanostructure.

Field emission device

[0170] In particular, the nanostructures of the present invention may form the basis of a field emission device. FIGs. 20A-20C show successively detailed views of such a device 2000. FIG. 2OA shows, schematically, a field emission device having several pixels mounted in a chamber 2010. Each pixel has a metal substrate 2020, one or more nanostructures 2080 mounted thereon. The metal substrate is in electrical communication with a metal cathode 2060 through one or more interconnects 2070. Cathode 2060 is in electrical communication with a device controller 2050 and an anode 2040. Device controller is typically an electrical component capable of providing a voltage across a specific pixel. Device controller is preferably able to control multiplexing of the device so that pixels can be individually addressed, for example by using an active addressing scheme. In normal operation, upon application of a voltage between the cathode and anode, nanostructures 2080 emit electrons towards anode 2040. The electrons impact a phosphor layer 2030 that is in contact with the anode, and cause it to emit one or more photons of visible light. It is preferable that anode 2040 is transparent so that the photons are emitted in a direction away from the pixels. Chamber 2010 is preferably sealed so that it contains either a vacuum or an inert gas such as argon. This arrangement ensures that the nanostructures have a long lifetime and do not decompose or react with oxygen or water vapor normally found in air.

[0171] The system depicted in FIG. 2OA is more practical than cathode ray tubes used in the art because it can be flatter. It also offers brighter displays than other comparable displays used in the art, such as LED's, OLED 's, and LCD's. For example, the contrast ratios achievable with LCD's are around 1,000 : 1, whereas those obtained from electron emission devices are around 20,000 : 1. Such contrast ratios make electron emitting devices such as shown in FIG. 2OA suitable for handheld devices such as cell-phones, GPS receivers, and other devices that see a lot of use in outdoor lighting conditions.

[0172] FIG. 2OB shows a more detailed view of an individual pixel from FIG. 2OA having electrical communication with device controller 2050. Nanostructure 2080 are optionally separated from each other by a layer of insulating material 2082. The thickness of the insulator is such that the tips of the nanostructures protrude from the upper surface of the insulator, as shown. It is preferable that the nanostructures shown are of approximately equal length, preferably within ±10% of each other, so that equal amounts protrude from the insulator. Nanostructures 2080 are preferably carbon nanotubes, and still more preferably single- walled carbon nanotubes. In still other embodiments, nanostructures 2080 are nanofϊbers. Exemplary lengths of the nanostructures are 500 nm - 10 μm, and exemplary diameters are 10 nm - lOOnm. The pixel typically has dimensions 10 μm by 10 μm and the nanostructures are typically spaced apart by 200 nm - 1 μm. Thus, the number of nanostructures supported in a given pixel is 100- 2,500.

[0173] In practice for color displays, an individual pixel of a display comprises three of the structures shown in FIG. 2OB, overlain with a suitable mask. Each of the three structures is masked to give one of the three primary colors, red, green, and blue, and is independently addressable for the purpose of generating a color image.

[0174] FIG. 2OB also shows, schematically, a photon 2084 emitted from phosphor layer 2030. Carbon nanostructures are effective light emitters because they give unidirectional emission towards phosphor layer 2030.

[0175] FIG. 2OC shows a more detailed view of the base and interface layers of an individual nanostructure 2080, for example in a pixel of FIG. 2OB. Only the lower part of the body of nanostructure 2080 is shown. A catalyst layer 2092 is shown in contact with the base of nanostructure 2080. The catalyst layer 2092 is on a layer 2090 that may be a single layer of a semiconductor such as silicon or germanium, and may be a multi-layer stack of metals and or semiconductors as further described herein. Layer 2090 is disposed upon metal substrate 2020. In the configuration depicted in FIG. 2OC, the interface between metal 2020 and nanostructure 2080 . forms an Ohmic contact.

[0176] The field emission device shown in FIGs. 2OA - 20C operates at a much lower voltage than other comparable devices that are not grown on a metal substrate such as 2020, but instead are grown on an insulating substrate. Metal 2020 is preferably tungsten, molybdenum, platinum or palladium.

Electron Beam Writer

[0177] The nanostructures of the present invention may also form the basis of an electron beam writer, as depicted in FIGs. 21 -23. Such a device can be viewed as a single-nanostructure version of the field-emission device described hereinabove. A device according to FIGs. 21 - 23 can find numerous applications where a very fine, focusable beam of electrons is required. For example, it can be used in electron beam lithography to create nanometer scale lines (so called nanolithography). It can also be used in forms of electron microscopy, such as scanning electron microscopy, and in transmission electron microscopy.

[0178] FIGs. 21 A - 21C show cross-sectional views, viewed sideways, of an electron-beam writing device 2100. Layer 2110 is a wafer, typically of highly doped silicon, which acts as a bottom electrode. Layer 2120 is insulator such as silicon dioxide. Layer 2130 is also insulator such as SiO 2 which act as sacrificial layer that can be etched away during the manufacturing process of making electron beam writer. Layer 2140 is atop electrode, typically formed from a metal, and often referred to as an actuator electrode. A vertical free-standing nanostructure 2150 resides in a cavity 2135 formed in layers 2130 and 2140. In some embodiments, nanostructure 2150 is disposed on a layer of top electrode metal 2142. In other embodiments, nanostructure 2150 is disposed on the wafer 2110.

[0179] The embodiments shown in FIGs. 21A and 21B are of a single electrode stack electron-beam writer. The embodiment in FIG. 21 C is of a multi-electrode stack device. In FIG. 21C, layers 2140 and 2160 are metal electrode layers, and layers 2120, 2130 and 2170 are insulating layers all together to form the embodiment for a electron-beam writer. In FIG. 21C, layers 2160 layer act as gate to control the movement of the nanostructure 2150 and layers 2140 layer act as an actuator electrode.

[0180] The embodiments described above as shown in FIGs. 21A, 21B and 21C can also be used for making relay switch where layer 2160 act as the gate electrode to control the movement of the nanostructure 2150, the layer 2142 act as the source of the device and the layer 2140 in this example act as the drain of the device to form an embodiment of three terminal device where the nanostructure 2150 can be moved towards the drain layer 2140 by applying electric field at the layer 2160.

[0181] The base of nanostructure 2150 is shown in detail in connection with FIG. 21C, though similar principles apply to any of the foregoing embodiments, in FIGs. 21 A and 21B. Nanostructure 2150 is separated from metal electrode layer 2142 by a catalyst layer 2152 and an

adjacent interface layer 2154. Interface layer 2154 may be a single layer, for example of silicon or germanium, or may comprise multiple adjacent layers. Where layer 2154 comprises multiple adjacent layers, at least one such layer is silicon or germanium; the other layers may be other semiconductors, insulators, or other metals different from the metal of layer 2142, so as to give control over the properties of nanostructure 2150.

[0182] Nanostructure 2150 is typically 500 nm- 10 μm long from base to tip, and is preferably around 1 μm long. The diameter of the nanostructure is typically between 5 nm and 50 nm. Preferably nanostructure 2150 is a carbon nanostructure such as a carbon nanotube or nanofiber.

[0183] FIGs. 22A - 22C show plan views from the top of various configurations of electrodes situated around a central vertical free-standing nanostructure 2150. In FIGs. 22A and 22B, multiple separately controllable electrodes are envisaged, numbered 2140, 2141, and 2143 - 2148. Exemplary numbers 4 and 8 are shown, though other numbers are possible, depending on the degree of control that is desired of the motion of the nanostructure. For example, other numbers of electrodes include, but are not limited to, 2, 3, 5, 6, 10, 12, and 20.

[0184] In FIG. 22C, a single continuous electrode encircles the cavity 2135 in which nanostructure 2150 resides. FIG. 22D shows a perspective view of the embodiment in FIG. 22C.

[0185] In operation, a voltage selectively applied to electrodes 2140, etc., can cause the tip of the nanostructure to move in space towards, or away from, a particular electrode, due to the electric field created by the electrodes. According to the disposition of the various electrodes, then, the nanostructure tip can move and therefore point in various directions. The directionality of the tip can therefore be controlled so that electrons, when emitted from the tip in response to a suitable applied voltage, will be caused to move in a desired direction.

[0186] FIG. 23 shows a schematic of an electron- writing device based on a vertically-aligned free-standing nanostructure. The arrow across the nanostructure indicates a degree of freedom of motion within the plane of the figure. A beam of electrons, e ~ , is shown emanating from the tip of the nanostructure 2150 in the direction of a writing target, or substrate 2310, which also serves as an anode. Also shown in FIG. 23 is a schematic electrical circuit between the anode and the top electrode.

[0187] In certain embodiments, it is possible to change the direction of the beam direction after it has been emitted from the nanostructures, instead of or in addition to altering the beam

direction by causing the nanostructure to tilt in the desired direction. In which case, the direction of the beam after emission can be controlled by an electron optical system (EOS), based on, for example, magnetic lances.

[0188] FIG. 24 shows an alternative embodiment in which a nanostructure 2410 is supported horizontally and has at least one degree of freedom, as shown by the arrow, to move in a vertical plane. An electrode 2420 in contact with the nanotubes communicates electrically with anode 2430, which also acts as a writing target.

[0189] The electron beam writer described herein may be tailored to various applications by appropriate choices of the various materials. For example, the support wafer 2110, and the insulator disposed thereon 2120 may be varied, as may the choice of metal for the electrodes. The manner of growth of the nanostructure, as further described herein, may permit functionalization of the nanostructure tip, as well as its morphology.

EXAMPLES

Example 1: Electron-beam writer

[0190] FIG. 25 shows a SEM image of an embodiment of an electron beam writer that may be used as a nano writer, wherein: D CNT = Diameter of CNT/CNF/nano-structure; L SD = thickness of insulator; L CNT = Length of CNT/CNF/nanostructure; L g = Distance between CNT/CNF/nanostructure and electrodes; FEI 3S = Elastostatic force acting on CNT/CNF/nanostructure; Fεi ec = Electrostatic force; and F vc iw = Van der Waals force. The voltage source in FIG. 25 may be DC or AC source depending on application.

[0191] The structure in FIG. 25 may also be used as an electron beam emitter for use in a display, wherein the position of the nanostructure is controlled while electrons are emitted from the structure onto, for instance, a fluorescent screen that emits photons when excited by electrons, thus providing a visible point. In this way, a display unit (pixel) with localized geometry control (sub pixels) is provided. By forming a plurality of these display units into a system of electron beam emitters, a display for use as a computer screen or television apparatus may be provided. Even without using the position control, the nano structure may find applicability as a pixel generating device due to the small scale of the complete system.

[0192] The structure of FIG. 25 may also be used as a chemical sensor. Super-sensitive chemical sensors can be obtained by functionalization: by functionalizing the tip of the free-

standing nanostructure it is possible to attach different kinds of molecules. By actuating the nano structure by applying a bias (DC/AC depending on requirements) between top electrodes and bottom electrode/electrode N 5 it is possible to detect a molecule that binds to the tip by measuring the current flow through it.

Example 2: Control

[0193] This example presents results that evidence control over the morphology and control over the chemical composition present at the base and the tip of grown carbon nanostructures, see FIGs. 26A and 26B. FIG. 26A is a transmission electron microscopy (TEM) micrograph showing a carbon nanofiber grown on a W metal underlayer. FIG. 26A shows how the morphology can differ based on sample preparation recipe.

[0194] FIG. 26B shows an example of how the chemical composition at the interface (base) and at the tip can be obtained. In FIG. 26B panel (a) there is a TEM image of a grown carbon nanofiber; in panel (b) an EDS spectrum shows the chemical elements at the tip of the fibers (catalyst region); and in panel (c) an EDS spectrum shows the chemical elements at the base of the fibers (underlayer region).

[0195] The CNF grew from a flat catalyst surface and no significant catalyst film break up was observed (see, e.g., Kabir, M. S.; Morjan, R. E.; Nerushev, O. A.; Lundgren, P.; Bengtsson, S.; Enokson, P.; Campbell, E. E. B.,Nanotechnology, (4), 458, (2005), incorporated herein by reference).

Example 3: incorporating nanostructures into a CMOS device

[0196] Nanostructures as described herein can be incorporated into a CMOS device as vertical interconnects. To accomplish this, a filler layer such as an insulator is deposited over a substrate and the nanostructures situated thereon, and then polished/etched back until the nanostructure is exposed at the top. The catalyst layer can be removed, e.g., by etching, once the nanostructure is grown if required.

Example 4: lift-off method for growing localized nanostructures

[0197] The present invention also encompasses a method of making nanostructures that are localized at specific positions, rather than being formed in arrays from a continuous film on a substrate. This method obviates the requirement of other processes in the art to anneal a film of catalyst to create discrete particles of catalyst in an uncontrolled manner.

[0198] According to this method, a metal layer, e.g., on a silicon substrate, is coated with a polymer layer. Such a polymer layer may be a photo-sensitive layer. The polymer layer is patterned by one of the several methods known in the art to define regions where one or more nanostructures are desired. The regions of polymer so patterned, i.e., where the nanostructures are intended to be positioned, are then removed, thus forming cavities in the polymer layer. A layer of insulator, e.g., amorphous silicon, is deposited over the polymer, followed by another layer of catalyst. The surrounding polymer layer is then removed, leaving defined regions such as dots of silicon, with catalyst on top. Such regions are bases upon which nanostructures can then be further constructed according to the various methods further described herein.

Examples 5-7:

[0199] In these examples, the results of experiments concerning the PECVD growth of nickel- catalyzed free-standing carbon nanotubes on six CMOS compatible metal underlayers (Cr, Ti, Pt, Pd, Mo, and W) are reported. These experiments focus in part on determining the optimum conditions for growing vertically aligned carbon nanotubes (VACNTs) on metal substrates using DC PECVD. Two sets of experiments were carried out to investigate the growth of VACNTs: (i) Ni was deposited directly on metal underlayers, and (ii) a thin amorphous layer of Si was deposited before depositing the Ni catalyst of the same thickness (10 nm). The introduction of an amorphous Si layer between the metal electrode and the catalyst was found to produce improved growth activity in most cases.

[0200] For many electronic applications it is desirable to use a metal which has a work function close to that of CNTs, i.e., ~5eV, for interconnects with nanotubes. Metals with work functions ranging from 4.33 to 5.64 eV were chosen. In these examples, the result of investigations related to the electrical integrity of the metal electrode layer after plasma treatment, the quality of the metal underlayers as interconnects and the quality of the grown CNTs is reported.

Experimental Conditions For Examples 5-7

[0201] Oxidized silicon substrates 1 cm in area and 500 Tm thick with an oxide (SlO 2 ) thickness of 400 nm were used. Cross sections of the prepared substrates are shown schematically in FIGs. 27 A and 27B. (The relative thicknesses of the layers are not to scale.) First, the metal electrode layer (for example, Cr, Ti, Pt, Pd, Mo, or W) was evaporated directly on the substrate by electron beam evaporation to a thickness of 50 nm. Thereafter, either a 10 nm thick Ni film was

deposited partially covering the underlying metal layer (FIG. 27B), or an intermediate 10 nm thick amorphous silicon layer was deposited prior to the deposition of the Ni layer (FIG. 27A). Si and Ni were evaporated at ~3 xlθ ~7 mbar chamber pressure to avoid the formation of any non- stoichiometric SiO x on the surface.

[0202] A DC plasma-enhanced CVD chamber was used to grow the nanotubes on the structures of FIGs. 27 A and 27B. The experimental set-up and detailed growth procedure were as described in Morjan, R. E., Maltsev, V., Nerushev, O. A. and Campbell, E. E. B., Chern. Phys. Lett, 383, 385-90, (2004). The substrate was placed on a 2 cm diameter molybdenum grounded cathode that contains an Ohmic heater. The temperature of the cathode was measured via a thermocouple connected to a temperature controller. Thermal gradients across the heater body did not exceed a few Kelvin; additional testing without plasma revealed that heat losses from the surface were reasonably small, and that the substrate temperature was lower than the heater body by 10-15 K. The opposite effect of heating the substrate from the plasma sheath is estimated to be negligibly small due to the low current density and total power released in the discharge (two orders of magnitude less than used in other work such as: Cassell, A. M., Ye, Q., Cruden, B. A., Li, J., Sarraazin, P. C, Ng, H. T., Han, J., and Meyyappan, M., Nanotechnology, 15, 9, (2004); and Teo, K. B. K., Chhowalla, M., Amaratunga, G. A. J., Milne, W. L, Pirio, G., Legagneux, P., Wyczisk, F., Pribat, D. and Hasko, D. G., Appl Phys. Lett., 80, 2011-3, (2002)). The nanotube growth was carried out in a C 2 H 2 INH 3 (1 :5) gaseous mixture at 5 mbar chamber pressure for all of the experimental runs. The substrate was heated up to the growth temperature of 700 0 C under a low vacuum pressure of 0.13 mbar with 3.8 0 Cs "1 ramping rate. The breakdown voltage applied at the anode for plasma ignition was 1 kV. After introducing the gas mixture in the chamber, the voltage dropped to 400V. The current density at the cathode surface was 0.5-1 mA cm "2 . The growth period was 15 minutes for all investigated substrate configurations. Note that a desire for accurate temperature control imposed a limitation on set-up design. The heater body and substrate are grounded, and the I— V characteristic of the discharge is limited by normal glow discharge conditions, i.e., the current density is almost constant and the total power released in the discharge is governed by the operational pressure. The potential drop between the cathode and anode is inversely proportional to the gas density and depends on the inter-electrode distance and gas composition.

[0203] After growth, the samples were cooled down to room temperature before air exposure. Films grown in this way were then imaged with a JEOL JSM 630 IF scanning electron microscope (SEM). Atomic force microscopy (AFM) was also employed to qualitatively study the substrate

morphology after the different processing steps. All the experiments were repeated to verify their reproducibility.

Example 5: Catalyst deposited directly on metals (no intermediate Si layer) [0204] FIG. 28 shows SEM images of the substrates after the growth sequence where a layer of nickel catalyst was deposited directly on top of the metal underlayer. In most cases no CNT growth is observed. The lack of growth observed on both Cr and Ti metal underlayers is contrary to previous work. For example, Ti and Cr have been used before as buffer layers between the catalyst and the native oxide covering of a silicon substrate to avoid the formation of nickel suicides during PECVD growth of carbon nanotubes or nanofibers (see, e.g., Han, J. H., and Kim, H. J., Mater. ScI Eng. C 16, 65-8, (2001); and Merkulov, V. L, Lowndes, D. H., Wei, Y. Y., and Eres, G., Appl. Phys. Lett, 76, 3555, (2000)). Also, Ti and Cr have been found to be the optimum metal underlayers for plasma-enhanced CVD growth of nanotubes using Ni and Co/Ni catalysts (see, e.g., Cassell, A. M., Ye, Q., Cruden, B. A., Li, J., Sarraazin, P. C, Ng, H. T., Han, J. and Meyyappan, M., Nanotechnology, 15, 9, (2004)). However, the difference between the instant results and those reported previously may be related to the difference in experimental conditions. In particular, the Ti and Cr layer was deposited directly on an Si substrate with native oxide in the case of Cassell, A. M., Ye, Q., Cruden, B. A., Li, J., Sarraazin, P. C, Ng, H. T., Han, J. and Meyyappan, M., Nanotechnology, 15, 9, (2004) and not on a thick layer of SiO 2 as here.

[0205] In the instant example, a much thicker (400 nm) oxide layer was used to provide a good insulating layer between the silicon and the metal electrode. The films where Ni has been deposited on Cr and Ti look rather smooth in the SEM pictures. AFM investigations of the substrates after heating, without the growth step, show that Ni on Cr and Ti does indeed produce a smooth surface after heating. Usage of other underlayers shows the presence of islands after heating, with average dimensions of 20-50 nm diameter and 1-5 nm height.

[0206] The SEM picture of a Ni film on a Pt underlayer after growth (FIG. 28) panel (c) shows the presence of 20^-0 nm islands. This is very similar to the structure of the substrate after heating, which was also investigated with AFM. No evidence for nanotube formation can be found in this sample. In contrast, the Ni-Pd combination (FIG. 28, panel (d)) leads to the formation of large irregular shaped columns after the growth process. In this case some small nanotube-like structures can be seen with diameters below 100 nm but with very low density of surface coverage.

[0207] AFM topographical images revealed the formation of small particles after the heating step in the Ni-Pd sample, though the impact of particle formation is not evident after the growth sequence. Only the Ni/Mo and Ni/W combinations (FIG. 28, panels (e) and (f)) lead to the formation of VACNT' s under our growth conditions. The structures all showed good vertical alignment with the catalyst particle at the tip. The diameter was rather small, in the range 5-40 nm, with lengths in the range 0.5 - 1 μm. The density was, however, very low, with values of 5 nanotubes μm ~2 for Ni/Mo and 73 nanotubes μmf 2 for Ni/W. The diameter distribution is plotted in FIG. 29.

Example 6: Effects of an intermediate Si layer on the growth of nanotubes [0208] Since the first application of PECVD for growth of vertical aligned nanotube arrays on Ni films (Ren, Z. F.,Huang, Z. P., Xu, J. W., Wang, J.H., Bush, P., Siegal, M.P., and Provencio, P. N., Science, 282, 1105-7, (1998)), researchers have discussed the role of surface morphology, catalyst thickness and etching reactions at the surface for the formation of catalyst particles. Suicide formation has been considered to be disadvantageous for nanotube growth and metal layers were used to prevent the formation of suicides (see, e.g., Han, J. H., and Kim, H. J., Mater. ScL Eng. C 16, 65-8, (2001); and Merkulov, V. L, Lowndes, D. H., Wei, Y. Y. and Eres, G., Appl. Phys. Lett., 76 3555, (2000)). Recently, the detailed investigation of catalyst particles found in nanotubes grown on an iron catalyst was performed with energetically filtered TEM (Yao Y., FaIk, L. K. L., Morjan, R. E., Nerushev, O. A. and Campbell, E. E. B., J. Mater. ScL, 15, 583-94, (2004)). It was shown that the particles contain significant amounts of Si. Similar observations were made for CNTs grown with PECVD on Ni catalysts. Thus, suicides do not poison the nanotube growth and the question about the stoichiometry of the most favourable catalytic particles is still open. The results reported here exploit the silicidation process for catalyst island formation. By introducing Si as a sandwich layer between the catalyst and the metal underlayer, a significant improvement in growing nanotubes on different metal underlayers was achieved. This can clearly be seen in the series of SEM pictures shown in FIG. 30. Very low density growth was found for Ti, (FIG. 30, panel (a)) and no growth for Cr metal (FIG. 30, panel (b)) underlayers. In the case of Cr, many cracks and voids were created on the film after 15 min in the plasma growth chamber. In the case of Ti, nanotubes are seen to grow from some catalyst sites. These appear to be randomly grown nanotubes with diameters ranging from 10 to 50 nm and lengths extending up to several microns. They show no vertical alignment and there is no evidence for tip growth. VACNTs grew successfully on the other four substrates, however. The samples with Pd (FIG. 30, panel (d)) also contained long non-aligned filamentous structures. Although TEM investigations

have not been performed, the coexistence of those two types of carbon nano structures looks very similar to results obtained by others (see, e.g., Melechko, A. V., Merkulov, V. L 5 Lowndes, D. H., Guillorn, M. A., and Simpson M. L., Chem. Phys. Lett., 356, 527-33, (2002)). Thus, long non- aligned filaments may be attributed to CNTs grown by the base-growth mode.

[0209] The highest density, 390 nanotubes μm ~2 , and most uniform samples were grown on the Ni/Si/Pt layers on FIG. 30, panel (c)), but the average length was shorter than that of the Pd and W cases (0.2-1 μm). A longer growth time leads to longer individual structures. In order to make a quantitative comparison of different samples, a statistical analysis of the top- view SEM images was performed. The size distributions of the bright spots on the images are plotted in FIG. 31. Bright spots correspond to a top view of catalyst particles on CNT tips. Diameters were calculated on the basis of the visible area of the spots. A side view of one of the samples is shown in the insertion, FIG. 32 (e). It is clearly visible that even the smallest spots correspond to vertically aligned nanotubes. The diameter varies from a few nanometres to more than 100 nm, and the length ranges from 0.2 μm up to 1 μm. Note that the nanotube diameter is slightly larger than the observed catalyst particle size, which is statistically more important for thinner objects. The molybdenum underlayer (FIG. 30, panel (f)) showed the lowest density of the four successful layers (89 nanotubes μπf 2 ) but also the longest structures (0.5-2 μm). High-resolution SEM studies (a sample is shown in FIG. 32(e)) revealed that in all four cases VACNT growth occurred via a tip growth mechanism as evidenced by the presence of the catalyst particles at the tips. Despite this fact, the grown nanotubes differ in terms of diameter, density and length.

[0210] The particle diameter distribution, FIG. 31, is strongly shifted to smaller diameters compared to previously published results where a Ni catalyst is deposited directly on the Si substrate (see, e.g.. Chhowalla, M., Teo, K. B. K.; Ducati, C, Rupesinghe, N. L., Amaratunga, G. A. J., Ferrari, A. C, Roy, D., Robertson, J. and Milne, W. L, J. Appl. Phys., 90, 5308, (2001); and Meyyappan, M., Delzeit, L., Cassell, A. M. and Hash, D., Plasma Sources Sci. TechnoL, 12, 205, (2003)). The average diameter of ~10 nm is much smaller than for Ni catalysed VACNT growth reported in previously published articles (see, e.g., Chhowalla, M., et ah, J. Appl. Phys., 90, 5308, (2001); Meyyappan, M., et al, Plasma Sources ScL TechnoL, 12, 205, (2003); Cassell, A. M., et al, Nqnotechnology, 15, 9, (2004); and Han, J. H., and Kim, H. J., Mater. Set Eng. C 16, 65-8, (2001)). AFM scans were performed after the heating step and showed no significant difference in surface morphology for the situations with and without the silicon intermediate layer. The formation of small catalytic particles is not only related to the heating step but is also related to the etching of these particles by species formed in the plasma (Han, J. H., et al, Thin Solid Films,

409, 12O 5 (2002); and Choi, J. H., et al, Thin Solid Films, 435, 318, (2003)) as well as metal dusting processes induced by the carbon diffused into the catalytic particles (see Emmenegger, C, Bonard, J.-M., Mauron, P., Sudan, P,, Lepora, A., Grobety, B., Zuttel, A., and Schlapbach, L., Carbon, 41, 53SM7, (2003)).

[0211] The size distribution of VACNTs present on the samples prepared according to this example, depends on the presence or absence of amorphous Si as an intermediate layer. In all samples with an amorphous Si intermediate layer, there is a strong inclination towards forming VACNTs with very small diameters. The distribution is plotted on a logarithmic scale in FIG. 33 (panel (a)) for the case where Si was used as an inteπnediate layer. More than 50% of the nanotubes have diameters < 5nm for the case of Pd and W, with the measured population dropping rapidly for larger diameters. Samples with a Pt underlayer have a broad distribution up to 35 nm diameter accounting for about 60% of all structures before dropping rapidly. The Mo underlayer produces a higher percentage of large diameter structures. FIG. 33 (panel (b)) shows the size distribution for growth on Mo and W underlayers where no Si intermediate layer was present. The probability peaks at 22 nm for growth on W with a FWHM of 20 nm. The distribution for the Mo underlayer appears to be rather random, which is clearly seen in the SEM images (see FIG. 30

CD ) .

Example 7: Electrical measurements of carbon nanotubes

[0212] The electrical integrity of the underlying metal electrode layer after plasma treatment, and the quality of the metal-nanotube contact are important issues for application of CNTs in CMOS compatible devices. Three different configurations of electrodes have been used for carrying out two-probe I-V measurements on the films: (i) both probes on the metal layer; (ii) one probe on the metal layer, and one on the nanotube surface; (iii) both probes on the nanotube surface. FIG. 34 displays the measurement configurations and equivalent DC circuit diagrams for each of these embodiments. Probes with a tip diameter around 40-50 μm connected to an HP 4156B parameter analyzer via a shielded box were used to carry out the measurements at room temperature. The probes were brought in contact with the surface (especially for the case of a CNT surface) with the help of micromanipulators while monitoring the current flow through the circuit. Thus it was ensured that the probe touched only the CNT surface and not the bottom of the film. The measurements were carried out to get qualitative results, rather than quantitative information about the film and the metal underlayers. Linear I-V profiles were measured for the CNT-metal configuration for the Mo and W underlayers (inset of FIG. 35 panel (a)) without the intermediate Si layer separating the metal from the Ni catalyst. Linearity in the I-V plots suggests

ohmic contact between the nanotubes and the metallic layer. No significant conductance variation is observed in this case among the three different measurement configurations, which is expected as the density of the nanostructures is very low. The main part of FIG. 35 panel (a) shows plots for samples containing an intermediate amorphous silicon layer. The resistance is higher than for the situation without the amorphous silicon, as could be expected. However, the plots show predominantly linear behaviour, with slight nonlinearity for tungsten, suggesting varying degrees of ohmic contact between the CNT and the respective underlying metals.

[0213] FIG. 35 panel (b) presents the deviations of conductance values from the 1/R value for the metal-metal configuration, represented by the dotted line. The dotted line is used to differentiate between surface leakage and poor contacts. The individual conductance values of different measurement configurations for given metal underlayers are evidenced by straight line indicators. The high conductance of CNT-CNT configurations for Pt and Pd is likely to be due to dominant leakage currents through the CNT film which appear in conjunction with the relatively high CNT density. It may also be related to an increased effective contact probe area due to the presence of long non-aligned CNTs (FIGs. 31 (c), (d)). On the other hand, the low conductance value of the CNT- metal configuration for Pt indicates a very poor metal-CNT contact. For W the inclusion of CNTs in the measurements leads to progressively lower conductance corresponding to a contact resistance of -150 for the probe-CNT-substrate system. The constant conductance values in all probe configurations for the case of Mo are probably due to the low density of nanostructures present per unit area. Similar results were obtained for Ni deposited directly on W and Mo as discussed above. The low surface density of the CNTs leads to an effective probe- metal-probe configuration when the electrical measurements are carried out even after the CNT growth. Growth of individual vertically aligned carbon nanostructures on prefabricated metal substrates may simplify CNT-based device fabrication processes compared to, for example, technologies which involve the use of CNT dispersions followed by assembly and integration of CNTs into functional forms by AFM manipulation, AC field trapping of CNTs or chemical functionalization. In the present case, the linearity of the I-V characteristics on the Si inclusion samples proves that the electrical integrity of the metal electrodes after plasma treatment remains stable. The values of the conductance for the metal— Si-CNT configuration scale as follows: Pt <Pd <Mo <W according to FIG. 35(b). According to the circuit diagram, the metal-metal configuration provides information concerning the resistance of the probe and the metal underlayers. The metal-CNT configuration provides information related to the resistance R 3 and the CNT-CNT configuration provides information related to any surface leakage induced current flowing through the circuit. For example, as indicated in the equivalent circuit diagram (FIG. 34),

if R(CNT-CNT) <(R 3 + R.Metai + Rz'), surface leakage current will dominate, whereas a poor conductance value for the metal-CNT configuration on Pt metal underlayers reveals that the resistance related to R 3 is the dominant factor. Moreover, because of the dominant R 3 , Pt may not be a good choice for growing vertically aligned nanotube-based devices. Due to the low R 3 resistance and no R(CNT-CNT) observed, W was found to be the best metal for interconnects from our set of experiments. Mo and Pd electrodes are also good candidates for fabricating devices based on CNTs.

Example 8: Influence of catalyst particles

[0214] An important aspect is the type and morphology of the catalyst particles from which nanostructures grow (see, e.g., Han, J. H., and Kim, H. J., Mater. Sci. Eng. C 16, 65-8, (2001); and Ducati, C, Alexandrou, L, Chhowalla, M., Robertson, J., and Amaratunga, G. A. J., J. Appl. Phys., 95, 6387, (2004)). In the instant studies, AFM analyses confirmed the formation of 1-5 nm rough, particle-like structures after the heating step for most of the metal underlayers, but not all of them catalyze the growth of carbon nanotubes. The formation and dimension of the Ni- containing islands is therefore not the only deciding factor for the growth of the nanostructures. It has been reported previously that the catalyst particles are not pure metal, but rather a complex crystallographic phase containing Ni, and C (Ducati, C, Alexandrou, L, Chhowalla, M., Robertson, J., and Amaratunga, G. A. J., J. Appl. Phys., 95, 6387, (2004)), and even Si inclusion does not poison iron-based catalysts (Yao Y., FaIk, L. K. L., Morjan, R. E., Nerushev, O. A. and Campbell, E. E. B., J Mater. Sci, 15, 583-94, (2004)).

[0215] In the instant study, the substrate configuration is changed due to the presence of a metal underlayer above a thick amorphous silicon oxide layer, which influences the growth of the individual nanotubes. Chemical mechanisms that may impact on nanotube growth include intermetallic diffusion, metal silicidation and gas phase reactions in the CVD chamber (see, e.g., Chhowalla, M., et al, W. L, J Appl. Phys., 90, 5308, (2001); and Meyyappan, M., et al, Plasma Sources Sci. Technol, 12, 205, (2003)). Following the experimental procedure described herein, the processes which are responsible for the CNT growth can be divided into two main stages: (i) the ramping stage — before the samples reach the growth temperature of 700 °C where the metallic interlayer interacts to form different alloys under vacuum conditions, and (ii) the growth stage — after the gaseous mixture is released into the reaction chamber reacting with the catalyst structures formed during the ramping stage.

[0216] For the catalyst-silicon-metal system , two interaction sites are of interest during the ramping stage: the interface between the Ni film and the amorphous Si intermediate layer, and the metal/Si interface. The final product (the catalyst for VACNT growth) of these interactions will depend on competitive processes defined by the various reaction rates. Aboelfotoh et al. (Aboelfotoh, M. O., Tawancy, H. M., and d'Heurle, F. M., Appl. Phys. Lett., 50, 1453, (1997)) used electron diffraction studies to show that the Ni and Si will not interact at a temperature lower than 120 0 C, and the most favourable compound formed at 600 0 C is an amorphous/crystalline phase of Ni-Si, when 20 nm Ni is deposited on top of a 40 nm amorphous Si layer. Details regarding the Si/metal and metal/SiQ2 interfaces are discussed below in separate examples.

[0217] In the second stage, when the gases are introduced into the reaction chamber and the plasma is ignited, one can expect further modifications to the surface topography and stoichiometry. In the present version of PECVD with a DC glow discharge, the plasma conditions in the vicinity of the substrate are defined by the gas type, pressure and gas temperature. The modification of these parameters results in different nanostructure growth. Similar gas compositions to those employed herein were used by Bell et al. (Bell, M. S., Lacerda, R. G., Teo, K. B. K., Rupesinghe, N. L., Amaratunga, G. A. J., Milne, W. I. and Chhowalla, M.,Appl. Phys. Lett., 85, 1137, (2004)) who reported that the most dominant species in a C 2 H 2 INH 3 plasma at 700 °C are the neutral molecules C 2 H 2 , NH 3 , H 2 , N 2 and HCN and the positive ions NH 3+ , C 2 H 2 + , NH 2 + , NH + , HCN + and C 2 H + . The catalytic decomposition of these species provides carbon atoms and dimers, which will dissolve in the alloyed catalyst producing carbon-based materials. These materials depend on the catalyst structure which was formed during the first stage and therefore depend on the metal underlayers. Studies concerning the role of ammonia reveal that its activity is related to removing amorphous carbon and carbon compounds in the supersaturated particles (see, e.g., Han, J.H., et al., Thin Solid Films, 409, 120, (2002); and Choi, J. H., et al, Thin Solid Films, 435, 318, (2003)). It was also reported that hydrogen derived from NH 3 not only assists in the dehydrogenation of the absorbed hydrocarbons, enhances the surface diffusion of carbon and etches amorphous carbon, but also influences the growth morphology (Hash, D. B. and Meyyappan, M., J. Appl. Phys., 93, 750, (2003)). This study shows that, for the cases when the growth of VACNTs was not possible, NH 3 can either cause etching of all the carbon species once they attach to the catalyst or leave the carbon species to saturate the catalytic particle forming amorphous carbon and graphitic deposits. Note that partial concentrations of fragmented and charged species in the gas phase depend on other discharge conditions such as hot filament gas activation.

Example 8: Cr andTi metal underlayers

[0218] According to recently published results, Ti and Cr represent the most promising metal underlayers with respect to VACNT growth when Ti or Cr films are deposited on a Si substrate with native oxide (see, e.g., Cassell, A. M., et al, Nanotechnology, 15, 9, (2004); Han, J. H., and Kim, H. J., Mater. Sci. Eng. C 16, 65-8, (2001); and Merkulov, V. L 5 et al, Appl. Phys. Lett, 16, 3555, (2000)). The results presented herein show the opposite behavior. The contradiction between these results can be attributed to the growth parameters that differ in the type of supporting layer below the metal layer, presence/absence of a hot filament system, current density, heating system and temperature control of the substrate.

[0219] The current density in the instant example was fixed by normal discharge current density. It is lower, e.g., in comparison to (Cruden, B. A., Cassell, A. M., Ye, Q. and Meyyappan, M., J. Appl. Phys., 94, 4070 (2003); Teo, K. B. K., et al, Nano Lett., 4, 921-6, (2004)) by two orders of magnitude. This means that heating effects from the plasma, discussed in detail therein, play a much smaller role in the instant example, and the relative input of ion flux in comparison with neutral carbon and etching gases is small. On the other hand, this flux is enough to supply VACNT growth on other metal sub-layers and may not be the main reason for the lack of VACNT growth. The role of a hot filament in PECVD of nanotubes was investigated in Cruden, B. A., et al, J. Appl. Phys., 94, 4070 (2003). It was shown that the hot filament does not improve the quality of CNTs relative to conventional DC PECVD. Thus, the difference in plasma conditions seems not to be the main reason for the contradiction. Other sets of possible reasons for the difference in CNT growth might be related to the difference in chemical reactions at all interfaces in the system — Si (or SiO 2 )ATi 5 Ti/Ni, Ti/Si. The different substrate composition (Si versus SiO 2 ) leads to different chemical reactions during the growth process. Cr-Ni and Ti- Ni phase diagrams show that possible reactions are likely to occur at 700 °C. Reader, et al. (Reader, A. H., van Ornmen, A. H., Weijs, P. J. W., Wolters, R. A. M., and Oostra, D. J., Rep. Prog. Phys., 56, 1397- 467, (1993)) discussed, in their review of silicidation mechanisms, that the reaction between Ti and SiO 2 at 700 °C will convert Ti into TiSi 2 . The oxygen is released upwards from the silicon oxide layer and may inhibit the Ni catalyst film in the given substrate configuration.

[0220] Moreover, Ti reacts with Si even at room temperature, forming Ti-Si compounds (Reader, A. H., van Ommen, A. H., Weijs, P. J. W., Wolters, R. A. M., and Oostra, D. J., Rep. Prog. Phys., 56, 1397-467, (1993)), which may explain the inefficiency of the Si interlayer inclusion in that case in comparison to the other metal underlayers. Presumably, the total amount of Si is consumed by the Ti underlayer before it reaches the growth temperature, forming a

TiSiZTiSi 2 layer (3 min. ramping to reach 700 °C). The Cr metal underlayer reacts with Si and forms CrSi 2 suicides at 450 °C (Hung, L. S., Mayer, J. W., Pai, C. S., and Lau, S. S.,J. Appl. Phys., 58, 1527-36, (1995)). To our knowledge, the reaction mechanisms between as-formed titanium or chromium suicides and Ni are not well studied. The only information that may be extracted from the AFM topographic studies on the heated substrates is the larger particle formation for both cases (Si inclusion and exclusion) in comparison to other metal underlayers. Apparently for the Cr and Ti layers, the reactions that occur during the ramping stage and the plasma environment stage collectively do not lead to any favorable conditions to produce carbon nanostructures either with or without an Si intermediate layer. Such a dramatic difference may be related to an earlier start of silicon oxide reduction under the metal followed by oxygen diffusion to the reaction zone. Further particle refinement in the presence of carbon-containing gases may be suppressed by the excess oxygen, thus hindering the CNT growth. To overcome this effect a higher carbon flux is required, but our discharge configuration did not allow us to increase the current density or activate the carbon supply.

[0221] All the speculation on titanium suicide and oxide formation and inhibition of Ni catalytic activity becomes more important in the case of non-equilibrium heating obtained in (Meyyappan, M., Delzeit, L., Cassell, A. M. and Hash, D., Plasma Sources ScL Techno!., 12, 205, (2003); and Cassell, A. M., Ye, Q., Cruden, B. A., Li, J., Sarraazin, P. C, Ng, H. T., Han, J. and Meyyappan, M., Nanotechnology, 15, 9, (2004); Cruden, B. A., Cassell, A. M., Ye, Q. and Meyyappan, M., J. Appl. Phys., 94, 4070 (2003); and Teo, K. B. K., et al., Nano Lett., 4, 921-6, (2004)) when a high power plasma discharge is used for the gas preparation and substrate heating. In this case, the hottest part of the substrate is the catalyst layer, and the transformation of catalyst and formation of Ni carbide starts faster than anyother solid statereaction. Catalyst nanoparticles and CNT embryos have time to start to grow before the Ti or Cr underlayers transform to suicides. This speculative explanation requires further investigations. A disadvantage of the higher current density is that it may cause damage of the substrate and grown nanostructures that will strongly limit the application of the method for the growth of small CNT bundles or individual structures.

Example 9: Pd and Pt metal underlayers

[0222] For the case of Pd and Pt, AFM measurements reveal the formation of small particles after the heating step. The phase diagrams show that no predominant alloy formation is likely to happenbetween Ni-Pd and Ni-Pt at 700 0 C (Massalski, T. B., Binary Alloy Phase Diagrams, vol. 2, Fe-Ru to Zn-Zr (1986, Metals Park, OH: American Society for Metals)). In the present layer configurations, Ni-Si-Pt/Ni-Si-Pd, the first reactions are the transformation of the Pd-Si and Pt-

Si interfaces to crystalline suicides (Pd 2 Si and Pt 2 Si respectively) (Aboelfotoh, M. O., Alessandrini, A. and d'Heurle, M. F., Appl. Phys. Lett, 49, 1242, (1986); Reader, A. BL 5 van Ommen, A. H., Weijs, P. J. W., Wolters, R. A. M., and Oostra, D. J., Rep. Prog. Phys., 56, 1397- 467, (1993)). Afterwards, at higher temperatures, the top Ni layer will start to interact with the remaining amorphous Si and most likely with the Pt/Pd suicides, thereby forming binary/ternary alloys (Kampshoff, E., Waachli, N. and Kern, K., Surf. Sd, 406, 103, (1998); Edelman, F., Cytermann, C, Brener, R., Eizenberg, M. and Well, R., J Appl. Phys., 71, 289, (1992); and Fianldiii, N. R., Wang, Q., Thobler, T. W., Javey, A., Shim, M. and Dai, YL, Appl Phys. Lett, 81, 913, (2002)). Thus, there is a strong chemical difference between the exclusion and inclusion of Si for both the Pd and Pt cases. Moreover, the strong reactions that occur, both at the ramping stage and at the plasma environment stage, collectively result in the formation of nanostructures with small diameters for the Si inclusion case, but no growth for the Si exclusion case. The latter case correlates to the bad growth of CNTs on an Ir underlayer observed in (Cassell, A. M., et ah, Nanotechnology, 15, 9, (2004)).

Example 10: Mo and W metal underlayer

[0223] Mo-Ni and W-Ni phase diagrams show the formation of Ni-rich alloys at temperatures higher than 700 °C. The integrity of the Ni layer deposited on Mo/W is to some extent affected, leading to a very low density of individual nanostructures for the Si exclusion case. The lack of uniformity and low density of nanostructures from these samples agrees with the observations made by Franklin et al. (Franklin, N. R., Wang, Q., Thobler, T. W., Javey, A., Shim, M. and Dai, H., Appl. Phys. Lett., 81, 913, (2002)) where the presence of W/Mo electrodes under the catalyst layer inhibited the growth of nanotubes, but disagrees with previously published results where Mo/W compounds are used as catalysts for nanotube growth (Lee, C. J., Lyu, S. C, Kim, H. W., Park, J. W., Jung, H. M., and Park, J., Chem. Phys. Lett, 361, 469, (2002); and Moisala, A., Nasibulin, A. G. and Kauppinen, E. L, J. Phys.: Condens. Matter, 15, S3011, (2003)). Mo and W start to consume Si at -800 °C and -950 °C respectively to form suicides (Aboelfotoh, M. O., Alessandrini, A. and d'Heurle, M. F., Appl Phys. Lett, 49, 1242, (1986); and Murarka, S. P., J. Vac. ScI Technol., 17, 775, (1980)). At present, the investigated processes are below these temperatures. Thus by introducing an Si interlayer a stable Si-Mo and Si-W system was achieved to facilitate a pure Si-Ni surface which apparently enhanced the density of individual nanostructures in the film. Moreover, these metals form a barrier for Si and Ni diffusion in both directions and limit the amount of Si that can react with Ni in comparison to the case where the Ni film is deposited directly on bulk silicon with a native oxide layer.

[0224] The effect of the Si interlayer may be compared with experiments on bulk Si having a native oxide layer (~1 nm), which were also carried out in the same set-up and under similar conditions. By comparing the catalyst particle/nanotube density (117/75 counts μm ~2 ) for growth on an Ni film (10 nm) deposited on silicon substrates with an Si amorphous interlayer (10 nm) between the metal and the catalyst, we observed that the density of nanostructures is increased by a factor of ~5, 3, 2, 1 for the Pt, Pd, W and Mo cases respectively. Thus, by tuning the thickness of the amorphous Si interlayer, one can control the density and particle distribution by changing the stoichiometry of the catalytic particles.

[0225] In summary, nanotubes have been successfully grown on four out of six chosen CMOS compatible metal underlayers by using silicon as an intermediate layer. An important observation from this set of experiments is that the size of the nickel islands formed after the heating sequence is not the only deciding factor for nanotube growth. Consequently, these experiments show that Si plays a vital role in the growth of carbon nanotubes. Moreover, the Si layer thickness is an additional tool for tuning the growth of carbon nanotubes with good quality and quantity as required for a particular application, along with the growth temperature, chamber pressure and different gas ratios. In particular, the insertion of a Si layer produces individual vertically aligned nanotubes with small diameter (< 10 nm) which can be advantageous for many applications.

[0226] The studies reported herein showed a poor growth of nanostructures on Ti and Cr metal underlayers, which is in apparent contradiction with the results obtained by other laboratories. The main reason for such a difference is attributed to Ti silicidation on the thick silicon oxide layer with a high release of oxygen that influences the Ni/Ti interface.

[0227] As metal interconnects, a W underlayer was found to be the best underlayer metal for the production conditions described herein. Nevertheless, structural and electrical integrity seems to remain intact for all the metal underlayers even after the harsh chemical and plasma treatment.

Example 11: Effects of silicidation on the growth of individual free standing carbon nanofibers

[0228] This example addresses vertically free standing carbon nanotubes/nanofibers and their integration into functional nanodevices. In this example, growth of individual free-standing carbon nanofibers on pre fabricated catalyst dots on tungsten and molybdenum metal underlayers are shown, exploiting an amorphous silicon layer as part of the catalyst layer. In summary, more than 95% of the catalyst dots facilitated nucleation for growth on the W metal underlayer.

Silicidation occurring during the growth sequence is suggested to play a vital role for growth

kinetics. EDX chemical analysis revealed that the tip of the nanofibers consists of an alloy of Ni and an underlayer metal and the base shows the signature of Ni 5 Si and underlayer metal.

[0229] The growth conditions and growth kinetics on different metal underlayers differ substantially from the growth mechanism that is postulated for Si substrates. This example provides an explanation for the growth results on W and Mo in terms of suicide formation. Individual nanofibers were characterized in a transmission electron microscope (TEM). The elemental compositions were determined by fine probe energy dispersive X-ray spectroscopy (EDX).

[0230] Oxidized silicon substrates 1 cm 2 in area with an oxide thickness of 400 nm were used. First the metal (W or Mo) underlayer was evaporated directly onto the substrate by electron beam evaporation to a thickness of 50 nm. Stripes and dots (100 nm and 50 nm edge to edge distance) were fabricated by e-beam lithography. Experimental details are further described in Kabir, et al, Nanotechnology, 17, 790-794, (2006), incorporated herein by reference. An intermediate 10 nm thick amorphous silicon layer covered by 10 nm of Ni was used to catalyze growth. A DC PECVD chamber was used to grow the nanostructures. The experimental set-up and detailed growth procedure have been described in Morjan, R. E., et al., Chemical Physics Letters, 383, 385, (2004). The nanotube growth was carried out in a gaseous C 2 H 2 INH 3 (1 :5) mixture at 5 mbar chamber pressure at 700 °C for 20 minutes for all of the experimental runs discussed here. The substrates were first heated up to 700 0 C under low vacuum conditions (0.13 mbar) with a 3.8 °C/second ramping rate (heating stage). After growth, the samples were cooled down to room temperature before air exposure. As-grown nanotubes from pre-fabricated dots were then imaged with a JEOL JSM 6301F scanning electron microscope (SEM) or a JEOL ULTRA 55 SEM. Samples were then gently rubbed onto a TEM grid to transfer the grown fibers from the substrate to the grid. Individual fibers were then investigated by TEM and EDX.

[0231] Morphology changes of the patterned substrate/catalyst layer may occur during the heating step of the growth sequence, but no predominant catalyst breakup or cluster formation was observed, which is in good agreement with experiments in which catalyst films were used. FIG. 36 shows SEM images of the substrates after the growth sequence for the case of W. FIGs. 36 panels (a), (c) and (d) show the micrograph of grown carbon nanofibers (CNF) from patterned 100 nm side length dots with 500 nm pitch, 50 nm length with 1 μm pitch, and 50 nm length with 500 nm pitch, respectively. As can be seen, more than 95% of the catalyst dots nucleated for growth. The catalyst from 100 nm dots splits, and multiple CNFs up to 4 fibers per dots were observed.

CNFs grown from 50 nm dots are individual and vertically well aligned. There are some instances of multiple CNFs growing from a single dot (~ 2%). AU cases where nanofibers grew showed a tip growth mechanism as evidenced by the presence of the catalyst particles at the tips. No predominant pitch induced effects are evident for 1 μm and 500 nm pitch respectively. Since an amorphous Si layer is included as a part of the catalyst layer on top of metal underlayers, the interactions between the amorphous Si and the two metal layers (silicidation) are important processes for defining the final phase of the catalyst and its catalytic activity. An example is shown in FIG. 37 panel (b) where only Ni was deposited on W, resulting in no catalytic activity and no growth.

[0232] It is reported that at room temperature the stress present in the deposited film is due to the mismatch in thermal expansion coefficients but at elevated temperature silicidation occurs resulting in net volume shrinkage. The volume decrease can be very large and this could lead to large tensile stresses in the suicided films. After heating the tensile stress for Ni and Mo suicides is found to be ~ 0.25 x 10 "9 dyne/cm 2 and ~ 0.10 x 10 :9 dyne/cm 2 respectively, which are of the same order. This perhaps explains why no catalysts broke up during the heating process; the break up into smaller patches is controlled by the growth kinetics rather than induced by the film stress (see inset of FIG. 36(a)).

[0233] Suicides can be formed at elevated temperatures either by a solid state reaction between a metal and silicon deposited on each other, or by codepositing metal and Si. Transition metal suicides have been extensively studied and explored due to their usefulness as high temperature materials. The investigated metal underlayers and the Ni catalyst layer should undergo silicidation during nanofiber growth in this case. For commonly used suicides, when a thin film of metal M reacts with a thick Si layer the therrnodynamically stable phase is MSi 2 . Conversely, when a thin Si film reacts with a thick metal layer, a thermodynamically stable metal- rich phase is formed. When a thin metal film reacts with a thin Si layer where there is neither excess metal nor excess Si present, the equilibrium phase will be determined by the ratio of metal atoms to Si atoms. For a ternary system as described herein, the situation is complicated since two or more phases are likely to occur simultaneously. In this case the interface reactions and diffusivities will define the stable phase.

[0234] For W-Si and Mo-Si systems, Si is the predominant diffusing species for the formation of corresponding suicides. On the contrary, Ni is the metal diffusion species in Si at elevated temperatures. All moving species are thus presumed to be moving down towards the substrate in

this system. The ramp rate at which the temperature of the substrate reaches the growth temperature might also play a role in defining the chemical phase of the suicides. An extensive study on the reaction of Si with W performed by Nishikawae/ al. (Nishikawa, 0.; Tsunashima, Y.; Nomura, E.; Horie, S.; Wada, M.; Shibata, M.; Yoshimura, T.; Uemori, R., Journal of Vacuum Science & Technology B (Microelectronics Processing and Phenomena) (1983), 1, (I), 6) and Tsong et al. (Tsong, T. T.; Wang, S. C; Liu, F. H.; Cheng, H.; Ahmad, M, Journal of Vacuum Science & Technology B (Microelectronics Processing and Phenomena) (1983), 1, (4), 915) by field ion microscopy, revealed that Si deposition on W is likely to result in the tetragonal polycrystalline WSi 2 structure at ~ 700 0 C, which is also the temperature used herein. However, Tsong et al. reported that a change of suicide phase occurs if heating is extended beyond ~ 30 s.

[0235] When silicon is the dominant diffusing species, it can continue to diffuse in at a location well beneath the Mo/W interface thus forming suicides at a distance from the interface. Thus at least two binary layers: Ni-Mo/W, and Si-Mo/W can be expected to form. We suggest that a Si-Mo/W layer provides a platform for the Ni rich W layer (Ni-W layer) to catalyze and facilitate CNF growth; no growth is observed for the case when Ni was deposited directly on W as shown in FIG. 36(b). To support this hypothesis, a TEM investigation on the nanofibers grown on W metal underlayers was carried out as depicted in the FIG. 26B. FIG. 26B panel (a) represents the typical structure of a CNF from a patterned catalyst of ~ 30 nm diameter. The catalyst Ni particle at the CNF tip usually had a conical shape. EDX point analysis was carried out both at the tip of the CNF and at its base as shown in the FIG. 26B panels (b) and (c) respectively. The EDX spectra reveal no characteristic peak representing Si at the tip of the fibers (FIG. 26B panel (b)). W was found to coexist with Ni catalyst at the tip. However a small amount of Si is detected at the base of the fibers (FIG. 26B panel (c)). Presence of silicon in the catalyst particles (both at the tip and at the base) regardless of catalyst particle type (Ni/Fe catalysts on an Si substrate) is reported by cross sectional TEM observations. It can be extrapolated from these observations that the particle at the tip of the CNF was part of the metallurgical layer from which the CNF grew and since in the sample the content of only Ni and W but no Si at the tip was observed, it can be surmised that the metallurgical layer for growth in this case was a Ni-W system. It is therefore proposed that a W-silicide layer has provided means for the Ni-W layer to nucleate for growth. In the model for tip growth suggested by Melechko et al., (Melechko, A. V.; Merkulov, V. L; Lowndes, D. H.; Guillorn, M. A.; Simpson, M. L., Chem. Phys. Lett., 2002, 356, (5-6), 527) the interface between catalytic particle and substrate is important. By having a suicide rather than a pure metal interfacing the catalytic Ni-W particle, we would alter these crucial interface conditions significantly- apparently in favour of CNF growth. The Mo metal underlayer behaves

the same as the W metal underlayer in many ways; producing CNF with almost the same statistics in terms of diameter, length, growth yield etc. Mo also behaves similar to W with regards to silicidation. It is therefore proposed that the explanation regarding the W metal underlayer is valid for Mo as well.

[0236] In conclusion, results on CNF PECVD growth have been presented in terms of metal- Si-metal reactions, suicide phases and kinetics. Silicidation is likely to play a vital rolein defining the growth mechanism of nanostructures, where a suicide can enable the upper metallurgical layer to nucleate. EDX analysis supports this conclusion for the case of a Ni on Si on W system. Breaking up of the catalyst particles is found to be more related to growth kinetics rather than the thermal expansion coefficient of different metals. The silicidation processes for thin film metal-Si-metal systems are complex and involve more than one mechanism governing their kinetics.

Example 12: Controlling nanostructures

[0237] This example describes control of CNT/CNF diameter and length distribution in PECVD growth from a single geometrical design. Results were obtained by controlling the diameter of catalyst dots by the shot modulation technique of electron beam lithography. The method comprises fabrication of dots of different sizes from one single geometrical design and the consequent effects on growth of vertically aligned carbon nanofibers on different metal underlayers. Statistical analysis was undertaken to evaluate the uniformity of the grown CNF structures by the PECVD system, and to examine the achievable uniformity in terms of diameter and length distributions as a function of different metal underlayers. It is possible to control the variation of diameter of grown nanofibers to a precision of 2 ± 1 nm, and the results are statistically predictable. The developed technology is suitable for fabricating carbon based nano- electro mechanical structures (NEMS).

[0238] The electrical characteristics (I- V) and switching dynamics of the fabricated devices depend on a number of design and fabrication related parameters. Since the CNF/CNT is the active part of the device, both the diameter and the length of the CNTs/CNFs are of great importance. Device geometry is depicted in FIG. 25, which shows an electron microscopy image of a fabricated vertical "nanorelay" structure where the parameters that influences the device characteristics are shown. A single CNF is grown between two drain electrodes. The drains are separated from the source electrode by 400 nm thick SiO 2 insulator. Charge can be induced into the CNF by applying a voltage to the drain electrode to actuate the CNF. For such two terminal

devices, the pull-in voltage is defined by the balance of the elastic, electrostatic and the van der Waals forces ( Dequesnes, M.; Rotkin, S.V.; Aluru, N. R., Nanotechnology, 13(1), 120, (2002)). Since all these three forces are strongly correlated with the diameter and the length of the grown structures and these are the parameters that can be controlled experimentally to a certain extent. In this example, is described (a) development of a technology to vary the diameter of the CNFs from one single geometrical design with a precision of 2 ± 1 nm; (b) growing the CNFs on different metal underlayers to realize the optimum the CMOS platform for CNFs growth; (c) statistical spread and control over length distribution of the grown structures; and (d) pitch limitations for mass production of high density parallel structures.

Sample preparation and characterization:

[0239] To fabricate the catalysts dots, the shot modulation technique of electron beam lithography is used to define the catalyst dimensions. The shot modulation technique is a robust technique that has been used for fabricating different kinds of nano-structures. For example, by varying the dose applied during the exposure of the two electrode regions, the width of the gap between them can be controlled with nanometer precision (see, e.g., Liu, K.; Avouris, P.; Bucchignano, J.; Mattel, R.; Sun, S.; Michl, J., Applied Physics Letters, 80(5), 865, (2002)). The experiment described in this example uses the state of the art electron beam lithography system, the JBX-9300FS model. The system is capable of keeping the spot size down to ~6 nm at 500 pA probe current at 100 kV operating voltage. The system has a height detection module which is used to ensure the accuracy of the focus point of the e-beam spot on the entire work piece and compensate for the height variation of the resists that usually occurs during spin coating of the resists.

[0240] Oxidized silicon substrates 1 cm 2 area with an oxide thickness of 400 nm, were used. First the metal (= Mo, Nb, or W) electrode layer was evaporated directly on the substrate by electron beam evaporation to a thickness of 50 nm. Sheet resistance measurements were carried out on the deposited films. Double layer resists system, consisting of 10% co-polymer and 2% PMMA resists, were then spin coated and baked respectively. The shot modulation experiments were then carried out on initial dots of 10 X 10 arrays with 50 nm square geometry. The same block was then distributed in an array of 8 X 8 matrix and the dose of electron beam was varied linearly with an interval of 100 μC/cm 2 starting from 500 μC /cm 2 . No proximity corrections were made for dose compensation. Inside the matrix, the columns represent the same dose while the rows represent different doses. The samples were exposed and then developed in a standard developer, IPA:H 2 O (93:7) for 3 min.

[0242] The samples were then mounted in an e-beam evaporator, and an intermediate 10 nm thick amorphous silicon layer was deposited prior to deposition of the Ni catalyst layer. After the e-beam evaporation, lift off processes were carried out in Acetone at 60 0 C, then EPA, and completing the sequence by rinsing in DI water and N 2 blow drying.

[0243] A DC plasma-enhanced CVD chamber was used to grow the nano structures. The DC plasma-enhanced CVD chamber was used to grow the nanostructures. The experimental setup and detailed growth procedure have been described previously (see, e.g., Morjan, R. E.; Maltsev, V.; Nerushev, O.;Yao, Y.; FaIk, L. K. L.; Campbell, E. E. B., Chemical Physics Letters, 383(3-4), 385, (2004)). The nanotube growth was carried out in a C 2 H 2 :NH 3 gaseous (1 :5) mixture at 5 mbar chamber pressure at 700 0 C for 20 minutes for all of the experimental runs. The substrates were first heated up to 700 0 C under low vacuum conditions (0.13 mbar) with a 3.8 °C s -1 ramping rate (annealing stage). Once the final temperature was reached, the C 2 H 2 INHS gas mixture was introduced into the chamber and 1 kV was applied to the anode to induce plasma ignition. After growth, the samples were cooled down to room temperature before air exposure. Nanotubes grown in this way from pre-fabricated dots were then imaged with a JEOL JSM 630 IF scanning electron microscope (SEM) and JEOL ULTRA 55 SEM. AU the experiments were performed repeatedly to verify their reproducibility.

[0244] After each step of the experimental sequences, samples were characterized by SEM, as portrayed in FIG. 38. FIG. 38 (a) represents the 10 X 10 array of fabricated dots prior to the heating step for growth. As can be seen from the figure, the square geometry rounded up to dots. FIG. 38 (b) was taken after the heating step prior to exposing the sample to plasma and gas mixture for growth. Not much seem to happen during the heating step and squared dots remain intact. FIG. 38 (c) depicts the results obtained after the growth sequence. The growth yields more than 98% at the dose scale of 1200 μC/cm 2 . Predominant vertical growth of CNFs was observed. However, for some instances, slight angular deviation from perpendicularity of the grown structures was also observed. In order to differentiate the impact of the insertion of a layer of amorphous Si as part of catalyst, a set of experiments in which only Ni catalyst was deposited on W substrates was carried out. As can be seen from FIG. 38 (d), no growth of CNF is evident. Such results are also reported in (Kabir, M. S.; Morjan, R. E.; Nerushev, O. A.; Lundgren, P.; Bengtsson, S.; Enokson, P.; Campbell, E. E. B. Nanotedmology, 16(4), 458, (2005)).

carried out on a geometrical design set to 50 nm square. All of the metal underlayers gave reproducible results. The electron beam exposure was carried out at 500 pA, 100 kV and thereby the beam step size was set to equal a spot size of ~6 nm. FIG. 39 describes the catalyst diameter after metal evaporation as a function of irradiated electron dose during the exposure. The dose was varied by varying the dwell time of the beam on each exposure shot. Linear increment of the catalyst diameter as a function of electron dose is expected as the dose was varied linearly ranging from 500 μC/cm 2 to 1200 μC/cm 2 . For the tungsten layer, below a threshold of 800 μC/cm 2 electron dose, no catalyst structure was observed. The observation can be explained in terms of how the electron energy is transferred to the resists. During an exposure, a series of elastic and inelastic scattering events determine the volume over which energy is deposited and the resist exposed. When the feature sizes are small, this effect becomes even more crucial to define the final exposed pattern. On the other hand, the energy deposited to the resists can be varied simply by keeping the beam 'on' the spot for a longer period. However, in addition to the beam induced parameters, the end outcome of the fabricated structures is determined by experimental parameters like resists thickness, resist developer, solid angle of the metal evaporation, etc. Still, there exist a minimum threshold point below which not enough energy will be transferred to the resists to be developed in the resist developer and no metal structure appears after metal deposition and lift off process. This is what is observed in FIG. 39. No structure appeared below 800 μC/cm 2 electron dose. Additionally, this threshold point depends not only on the type of the resists itself but also on other parameters such as substrate material, beam current density, beam pitch, etc. Nevertheless, the electron beam lithography technique not only facilitated extremely high positional precision capability (< 50 nm) but also proved to be a robust technique to control the diameter from a single design.

Growth on different metal underlayers:

[0245] FIGs. 40 and 41 show an SEM of nanotubes grown from catalyst dots on different metal underlayers fabricated at a dose of 800 μC/cm 2 and 1200 μC/cm 2 respectively, for two different pitches (500 nm and 1 Tm) in each case. Doses below 800 μC/cm 2 did not give any growth of CNFs, a fact which correlates well with the observation of lack of catalyst particles after lithography under these conditions (see FIG. 39). The structures of the grown CNFs were very similar for the Mo and W metal underlayers except for the fact that the W metal underlayers required a slightly higher dosage to reach the same yield. For the case of tungsten, at the dose of 800 μC/cm 2 , CNFs grew from more than 60% of the total catalyst dots. At even higher doses, more than 97% catalyst dots act as nucleation sites for growth of nanotubes. CNFs grew from

supported catalyst particles via a tip-growth mechanism in the followed conditions. The block with 500 run pitch, on the other hand, yielded more than 85% growth from catalyst cites produced at 800 μC/cm 2 . This incidence correlates with the proximity effect of the electron dose, and resulted in higher energy deposited to the resists during the processing.

[0246] Mo and W provided a stable platform for Si-Ni to interact, forming suicides at the growth temperature without breaking into little droplets. This result is different from the observations by Yudasaka et al. (see Yudasaka, M.; Kikuchi, R.; Ohki, Y.; Ota, E.; Yoshimura, S.; Applied Physics Letters, 70(14), 1817, (1997)), Merkulov et al (see Merkulov, V. L; Lowndes, D. H.; Wei, Y. Y.; Eres, G.; Voelkl, E. Applied Physics Letters, 76(24), 3555, (2000)) and Teo et al. (see Teo, K. B. K., et al., Nanotechnology, 14(2), 204, (2003)) where, for initially large dots, multiple droplets were formed. As the size of the dots is reduced, the number of Ni droplets also decreases. Merkulov et al. observed -300 nm critical diameter and Teo et al. observed ~100 nm critical diameter below which single VACNFs are grown. In all cases, only Ni was used as catalyst layer. In addition, in their case, formation of droplets was the necessary precursor for the catalytic growth of nanofibers. On the contrary, no droplet formation is observed after the heating step (see FIG. 38 (b)). Similar behaviour was observed even for the case where films of catalyst were used (Kabir, M. S.; Morjan, R. E.; Nerushev, O. A.; Lundgren, P.; Bengtsson, S.; Enokson, P.; Campbell, E. E. B. Nanotechnology, 16(4), 458, (2005)). Therefore, these observations suggest that the formation of droplets may not be the only criterion for catalyst nucleation.

[0247] The binary phase diagram of Nb-Si indicates that no reaction should occur at the growth temperature used in the experiment (see, e.g. , Zhao J C, Jackson M R and Peluso L A, Mater. ScL Eng. A 372, 21, (2004)). Therefore, a Nb metal underlayer is also expected to facilitate a stable platform for Si and Ni to interact. The suicide formation step is therefore not expected to be the reason for the poor growth results on the Nb metal underlayer. There are a number of parameters that would influence the growth results including details of how the metal underlayer and the catalyst layers are deposited.

[0248] Furthermore, a Si layer is present between the Ni catalyst and the metal underlay ers. Ni undergoes chemical reactions with Si at growth temperature 750 °C and forms mono/di silicidates (Kabir, M. S.; Morjan, R. E.; Nerushev, O. A.; Lundgren, P.; Bengtsson, S.; Enokson, P.; Campbell, E. E. B. Nanotechnology, 16(4), 458, (2005)) and remains stable. The observation may also perhaps be due to the fact that below a critical dot size (in this case ~50 nm has rather small volume) the breakup does not occur due to increase in the surface energy, which is larger

than the reduction of strain energy imposed by the mismatch of thermal expansion coefficient of different metal layers at a given temperature. Nevertheless, alter the acetylene is introduced, the VACNF growth begins. Growth mechanisms follow the tip growth model as is evident from the bright spot at the tip of nanotubes. Only rarely has formation of multiple CNFs from single dots been observed. Since the occurrence of such multiples of CNFs was less than 3%, the phenomenon is considered to be negligible and remains to be explained.

Statistical evaluation

[0249] AU experiments were performed on 72 blocks of 10 X 10 arrays of catalyst dots for each electron dose. To evaluate the structural uniformity, especially the tip diameter and the height distribution of the grown CNF structures, statistical analysis was undertaken. The statistical distribution was carried out on 75 randomly chosen CNFs for each electron dose. The results from statistical distributions are summarized in FIG. 42 and FIG. 43. FIG. 42 represents the grown CNF tip diameter as a function of catalyst dimension. Standard deviations of the measured data are shown as error bars for obtained mean values. For instance, the obtained mean value for the tip diameter of the grown CNFs is 26 nm (W substrate) from -48 run diameter catalyst with a standard deviation of ± 3.5 nm. FIG. 42 also represents a benchmark to predict the results with a statistical accuracy of ± 3 nm, which we believe is sufficiently good data to fabricate NEMS structures with statistically predictable/-]^ characteristics. Moreover, almost linear dependence of the tip diameter on the size of catalyst dimension, which is again dependent on the deposited electron dose of the EBL, proves to be a robust technique to control the tip diameter with an accuracy of ± 2 nm.

[0250] As evident from the figures, diameters of the grown CNFs are roughly 50% smaller than the initial catalyst size. This observation is consistent with others (see Teo, K. B. K., et ah, Nanotechnology, 14(2), 204, (2003)). According to the spherical nanocluster assumption (Teo, K. B. K., et ah, Nanotechnology, 14(2), 204, (2003)), it is possible to calculate the expected diameter of the grown CNF by equating the patterned catalyst with the volume of a sphere. The calculated diameters are thus plotted in dotted lines. The theoretical plot gave very good agreement with the average experimental values for diameters when the critical thickness for the catalyst was set to 4 nm. This is 60% reduction from the initial thickness of the catalyst film (initial 10 nm thick Ni catalyst). Moreover, this observation fortifies the fact that the silicidation occurs during the growth process, and dominates and controls the exact thickness of the catalytically active film. Statistical analysis on length distributions of the grown CNFs showed Gaussian distributions for all cases. The most pragmatic parameter from the distributions, the FWHM of length distribution,

is plotted as a function of catalyst dimensions in FIG. 43. The spread of the Gaussian fit is also indicated by bar on each point. It is apparent from the Figure that height distributions for W and Mo almost overlap with each other. Whereas Ni produced more than half the height compare to other metals. This difference for different metals underlay ers suggest that the different metals give rise to different pace to the catalytic activities of the catalysts resulting different length distributions. Moreover, the spread of length distribution is of the order of 100 nm which is substantially better than the reported value by others (see Teo, K. B. K., et al., Nanotechnology, 14(2), 204, (2003)) where spreads of the order of microns were reported. The height variations as a function of catalyst diameter show a predominantly straight line, which is not surprising as the volume of the catalyst does not increase significantly as a function of catalyst dimension to produce significant impact on height.

Diameter and length distributions

[0251] AU experiments were performed on 72 blocks of 10 x 10 arrays of catalyst dots for each electron dose (7200 dots for each dose condition). The tip diameter and nanofibre length were determined for at least 50 randomly chosen structures for each electron dose. The results are summarized in FIGs. 42 and 43.

[0252] The length of grown nanotubes ranged from 800 nm to 900 nm. The tip diameter was ranging from 20 nm to 70 nm. Only a few nanotubes did not grow normal to the substrate. The grown fibers tend to have larger diameter at the bottom and smaller at the top, thereby forming conic shape nanofiber structures with conical angle less than 20. Apparently, e-field alignment is related to number of CNTs growing from each dot. When examining the critical size for the nucleation of single CNFs, it was discovered that there were still some instances of multiple (z.e., double) CNFs from some catalyst dots (below 3%). Mo substrate produced better yield (more than 80%) at the same electron dose. Structural configurations of the grown structures did not seem to differ between Mo and W metal underlayers except where the W metal underlayers required little higher dosage to reach the same yield. This could be related to the conductivity of the metal substrates. Nb was chosen as an exotic material simply for the purpose of a comparative analysis with the other metals. At dose 800 μC/cm 2 , not more than 30% dots nucleated for growth, but this trend remains the same at higher dosage.

[0253] FIG. 42 shows the CNF average tip diameter as a function of catalyst dimension (i. e. , electron dose). The error bars represent standard deviations in nanometres. An almost linear dependence of the tip diameter on the catalyst size is observed. Since the catalyst size can be

controlled by adjusting the electron dose in the EBL 5 this proves to be a robust technique to control the tip diameter from a single design geometry with an average standard deviation of ±4 run. As is evident from FIG. 42, the diameters of the grown CNFs are roughly 50% smaller than the initial catalyst size. The base diameter is slightly smaller than the diameter of the catalyst with an average value ranging from 40 to 50 nm as a function of dose, i.e., approximately 1.5 times larger diameter than at the tip (corresponding to a conical angle of about 0.5° for 1 μm long fibres). This observation is consistent with related studies where carbon nanofϊbres were grown on Ni catalysts of 100 nm dimensions and larger deposited on a doped silicon substrate with an 8 nm thick oxide barrier where the measured tip diameterswere about 0.5 of metal catalyst diameter (Teo K B K, et al., Nanotechnology, 14, 204, (2003)). That earlier work was more focused on large diameter structures (larger than 100 nm). The measured standard deviations were smaller than in our case; however, this is more related to the lithographic challenges of producing smalKlOO nm structures than to the growth process. In our case the catalyst tip of the grown CNF takes an approximately conical shape (Yao Y, FaIk L K L, Morjan R E, Nerushev O A and Campbell E E B, J Microsc, 219, 69-75, (2005)) and therefore the volume of catalyst material enclosed within the CNF tip can easily be estimated. From TEM studies we can estimate the height of the cone to be approximately 40 nm for a 25 nm diameter CNF. The estimated catalyst volume then turns out to be approximately one-fifth of the originally deposited catalyst dot volume. The remaining catalyst material is present at the base of the CNF in the form of small Ni particles embedded in a carbon 'dome' or in a thin layer of Ni between the carbon 'dome' and the amorphous silica layer coating the silicon wafer (Yao Y, et al, J. Microsc, 219, 69-75, (2005)).

[0254] The measured lengths of the grown CNFs showed Gaussian distributions for all cases. The average length is plotted as a function of catalyst dimension in FIG. 43. The standard deviation is indicated by the bar on each point. It is apparent from the figure that the height distributions for W and Mo almost overlap with each other. On the other hand, the nanofibres grown on the Nb underlayer were only slightlymore than half the height of the fibres grown on the other metals. The spread of the length distribution for W and Mo metal underlayers varied from 8 to 15% with an average standard deviation of 11%. In contrast, for the Nb metal underlayer it varied up to 20% with an average standard deviation of 16%. There is no dependence of the height of the structures on the catalyst diameter within the range that we have investigated.

Pitch limitations:

[0255] The ultimate limit for integrating such densely populated structures was considered. Experiments were carried out on pitches with ITm, 500 nm and 100 nm distances to evaluate the

pitch induced effects. No significant variations were observed between the 1 Tm and 500 nm pitch in terms of height .and diameter distributions. However, 50 nm dots with 100 nm pitch revealed characteristics that resembled growth from film of catalyst. FIG. 44 depicts the results obtained. FIG. 44 (a) represents the catalysts after the heating step where neither break-up of the dots nor predominant clusters formation (catalysts conglomeration) are observed. On the other hand, CNFs tend to come close in contact with the next neighbors while growing. This effect is perhaps due to the local field gradient that occurs on the CNFs during the growth period. The pitch limit on the reliable production of individual carbon nanofires grown from 50 nm catalyst dots is therefore seen to lie between 100 and 500 nm.

[0256] In conclusion, use of the shot modulation technique to define the catalyst diameter exceptionally precisely, thereby controlling the CNFs diameter and length, has been demonstrated. As predicted by theoretical models, the switching dynamics are sensitive to geometrical parameters. This example demonstrates experimentally that it is possible to tune these two important parameters related to fabrication of C-NEMS structures, diameter and length, up to the limit of ± 2 nm for diameter, and a spread of ± 50 nm for length.

[0257] Two CMOS compatible metals, Mo and W, as metal underlayers substantiated to produce good quality CNFs suitable for C-NEMS device fabrication. These metals to produce low ohmic contacts with CNFs. Therefore they are expected to create good low ohmic contact with the grown CNF structures, which is essential for device fabrication. On the other hand, Nb did not produce high quality and reliable results and thereby is preferably not used as source metal underlayer. The developed technology appears to possess a limit of 100 nm pitch for large scale integration.

[0258] The results obtained from arrays of same structures on entire ~ 1 cm area were found to be reproducible for each electron dose scale. These positive results also indicate the potential of the technique to be used for wafer level integration of the CNFs based NEMS structures. In terms of number, length and diameter, control over the process is sufficient to carry out large scale production of carbon based NEMS structures with predictable statistical variations. The technology will facilitate study of pull in and pull out processes associated with electrical properties of NEMS structures.

[0259] Other description and examples can be found in: M. S. Kabir, "Towards the Integration of Carbon Nanostructures into CMOS Technology", Ph. D. Thesis, Chalmers University of Technology, Gδteborg, Sweden, (August 2005), ISBN: 91-7291-648-6.

[0260] The foregoing description is intended to illustrate various aspects of the present invention. It is not intended that the examples presented herein limit the scope of the present invention. The invention now being fully described, it will be apparent to one of ordinary skill in the art that many changes and modifications can be made thereto without departing from the spirit or scope of the appended claims.

[0261] All references cited herein are hereby incorporated by reference in their entirety for all purposes.