Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
COPPER CLEANING AND PROTECTION FORMULATIONS
Document Type and Number:
WIPO Patent Application WO/2013/138278
Kind Code:
A1
Abstract:
A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions achieve highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Inventors:
KOJI YUKICHI (JP)
HIRASHIMA RYOICHI (JP)
SAITO HIDEAKI (JP)
Application Number:
PCT/US2013/030374
Publication Date:
September 19, 2013
Filing Date:
March 12, 2013
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ADVANCED TECH MATERIALS (US)
International Classes:
C11D3/30; C23G1/18; H05K3/06
Domestic Patent References:
WO2010048139A22010-04-29
WO2010127942A12010-11-11
Foreign References:
US20040048761A12004-03-11
US20100152086A12010-06-17
US20030181342A12003-09-25
Attorney, Agent or Firm:
FUIERER, Tristan (P.O. Box 13706Research Triangle Park, NC, US)
Download PDF:
Claims:
THE CLAIMS

What is claimed is:

1. A cleaning composition comprising at least one solvent, at least one corrosion inhibitor, at least one polyamine species, and at least one quaternary base, wherein the at least one polyamine species is at least one of an aliphatic polyamine or a cyclic polyamine.

2. The cleaning composition of claim 1, wherein the aliphatic polyamine has the structure:

R R

\ N- 1CnH 2n -N- Cn nHn2n i /

R0 \ Rb

R1 where m represents an integer between 0 and 10, n represents an integer between 1 and 10, R1 to R5 may be the same as or different from one another and can be hydrogen or a Ci-C6 alkyl group.

3. The cleaning composition of any of the preceding claims, wherein the at least one polyamine species is selected from the group consisting of diethylenetriamine, triethylenetetramine, tetraethylenepentamine, hexamethyleneheptamine, iminobispropylamine, bis(hexamethylene)triamine, pentaethylenehexamine, pentamethyldiethylenetriamine (PMDETA), triethylenetetramine (TETA), N-methylpiperazine, N-ethylpiperazine, N-isobutylpiperazine, N- aminomethylpiperazine, N-aminoethylpiperazine (AEP), N-aminopropylpiperazine, N- hydroxymethylpiperazine, N-hydroxyethylpiperazine, N-hydroxypropylpiperazine, 1 ,4- dimethylpiperazine, 1 ,4-diethylpiperazine, 1 ,4-diisopropylpiperazine, 1 ,4-dibutylpiperazine, 1 - aminomethyl-4-methylpiperazine, 1 -hydroxymethyl-4-methylpiperazine, 1 -aminoethyl-4- ethylpiperazine, l-hydroxyethyl-4-ethylpiperazine, 1 ,4-(bisaminoethyl)piperazine, 1,4- (bishydroxyethyl)piperazine, 1 ,4-(bisaminopropyl)piperazine, 1 ,4-(bishydroxypropyl)piperazine, 1 - aminoethyl-4-hydroxyethylpiperazine, 1 -aminopropyl-4-hydroxypropylpiperazine, and combinations thereof.

4. The cleaning composition of any of the preceding claims, wherein the at least one polyamine species comprises PMDETA.

5. The cleaning composition of claim 1, wherein the cyclic polyamine has the structure:

where R1 represents a hydrogen atom, a Ci-C6 alkyl group, an amino alkyl group, or a hydroxyalkyl group and R2 represents an alkyl group, an amino alkyl group, or a hydroxyalkyl group.

6. The cleaning composition of claims 1 or 6, wherein the cyclic polyamine comprises an imidazoline or imidazole derivative.

7. The cleaning composition of any of the preceding claims, wherein the corrosion inhibitor comprises a species selected from the group consisting of: N-ribosylpurine, adenosine, guanosine, 2- aminopurine riboside, 2-methoxyadenosine, N-methyladenosine, N,N-dimethyladenosine, trimethylated adenosine, trimethyl N-methyladenosine, C-4'-methyladenosine, 3-deoxyadenosine; adenine, methylated adenine, dimethylated adenine, N4,N4-dimethylpyrimidine-4,5,6-triamine, 4,5,6- triaminopyrimidine, allantoin, hydroxylated C-O-O-C dimers, C-C bridged dimers, ribose, methylated ribose, tetramethylated ribose, xylose, glucose, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, isoguanine, triaminopyrimidine, amino-substituted pyrimidines, and combinations thereof.

8. The cleaning composition of any of the preceding claims, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of adenosine, adenosine degradation products, and derivatives thereof.

9. The cleaning composition of any of the preceding claims, wherein the solvent comprises water.

10. The cleaning composition of any of the preceding claims, wherein the composition is diluted in a range from about 5: 1 to about 200: 1.

11. The cleaning composition of any of the preceding claims, wherein the composition is substantially devoid of at least one of oxidizing agents; fluoride-containing sources; abrasive materials; an alcohol having an ether-bond in the molecule; alkylpyrrolidones; surface interaction enhancing agents; alkali and alkaline earth metal bases; sugar alcohols; corrosion inhibiting metal halides; maleic acid; and combinations thereof; and wherein the cleaning compositions do not solidify to form a polymeric solid.

12. The cleaning composition of any of the preceding claims, wherein the at least one quaternary base has the formula NR^RVOH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained C 1-C6 alkyl, branched C1-C6 alkyl, substituted C6-C10 aryl, and unsubstituted C6-C10 aryl.

13. The cleaning composition of any of the preceding claims, further comprising at least one additional component selected from the group consisting of at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, at least one surfactant, and NR1R2R3R4OH, , where R1, R2, R3 and R4 can be the same as or different from one another and are selected from the group consisting of H, a methyl and an ethyl group, with the proviso that at least one of R1, R2, R3 and R4 must be H.

14. The cleaning composition of any of the preceding claims, further comprising at least one reducing agent.

15. The cleaning composition of claim 14, wherein the at least one reducing agent comprises a species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, gallic acid, glyoxal, and combinations thereof.

16. The cleaning composition of any of the preceding claims, further comprising at least one complexing agent and at least one supplemental corrosion inhibitor.

17. The cleaning composition of claim 16, wherein the supplemental corrosion inhibitor comprises a species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzotriazole, citric acid, ethylenediamine, gallic acid, oxalic acid, tannic acid, 1 ,2,4-triazole (TAZ), tolyltriazole, 5-phenyl -benzotriazole, 5-nitro- benzotriazole, 3-amino-5-mercapto-l ,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5- amino-pentyl)-benzotriazole, 1 ,2,3-triazole, 1 -amino- 1, 2,3 -triazole, l -amino-5-methyl-l ,2,3-triazole, 3-amino-l ,2,4-triazole, 3-mercapto-l ,2,4-triazole, 3-isopropyl-l ,2,4-triazole, 5-phenylthiol- benzotriazole, halo-benzotriazoles (halo = F, CI, Br, I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5- aminotetrazole, 5-amino-l,3,4-thiadiazole-2 -thiol, 2,4-diamino-6-methyl-l ,3,5-triazine, thiazole, triazine, methyltetrazole, l,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, l -phenyl-5- mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H- 1 ,2,4-triazole-3 -thiol, 5-amino-l,3,4-thiadiazole-2 -thiol, benzothiazole, tritolyl phosphate, imidazole, indiazole, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, pyruvic acid, phosphonic acid and derivatives thereof, l-hydroxyethylidene-l,l-diphosphonic acid (HEDP), propanethiol, benzohydroxamic acids, heterocyclic nitrogen inhibitors, potassium ethylxanthate, and combinations thereof.

18. The cleaning composition of claim 16, wherein the complexing agent comprises a species selected from the group consisting of acetic acid, acetone oxime, acrylic acid, adipic acid, alanine, arginine, asparagine, aspartic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutamic acid, glutamine, glutaric acid, glyceric acid, glycerol, glycolic acid, glyoxylic acid, histidine, iminodiacetic acid, isophthalic acid, itaconic acid, lactic acid, leucine, lysine, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phenylalanine, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, serine, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, valine, xylitol, salts and derivatives thereof, and combinations thereof.

19. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with the cleaning composition of any of claims 1-18 for sufficient time to at least partially clean said residue and contaminants from the microelectronic device.

20. The method of claim 19, further comprising diluting the cleaning composition with solvent at or before a point of use, wherein said solvent comprises water.

Description:
COPPER CLEANING AND PROTECTION FORMULATIONS

FIELD

[0001] The present invention relates generally to compositions including novel polyamines for cleaning residue and/or contaminants from microelectronic devices having same thereon.

DESCRIPTION OF THE RELATED ART

[0002] Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi -conductive properties.

[0003] In order to obtain the correct patterning, excess material used in forming the layers on the substrate must be removed. Further, to fabricate functional and reliable circuitry, it is important to prepare a flat or planar microelectronic wafer surface prior to subsequent processing. Thus, it is necessary to remove and/or polish certain surfaces of a microelectronic device wafer.

[0004] Chemical Mechanical Polishing or Planarization ("CMP") is a process in which material is removed from a surface of a microelectronic device wafer, and the surface is polished (more specifically, planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying slurry, e.g., a solution of an abrasive and an active chemistry, to a polishing pad that buffs the surface of a microelectronic device wafer to achieve the removal, planarization, and polishing processes. It is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast, uniform removal. In the fabrication of integrated circuits, the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.

[0005] Recently, copper has been increasingly used for metal interconnects in integrated circuits. In copper damascene processes commonly used for metallization of circuitry in microelectronic device fabrication, the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 μηι and copper seed layers having a thickness of about 0.05-0.15 μιη. These copper layers are separated from the dielectric material surface by a layer of barrier material, typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material. One key to obtaining good uniformity across the wafer surface after polishing is to use a CMP slurry that has the correct removal selectivities for each material. [0006] The foregoing processing operations, involving wafer substrate surface preparation, deposition, plating, etching and chemical mechanical polishing, variously require cleaning operations to ensure that the microelectronic device product is free of contaminants that would otherwise deleteriously affect the function of the product, or even render it useless for its intended function. Often, particles of these contaminants are smaller than 0.3 μιη.

[0007] One particular issue in this respect is the residues that are left on the microelectronic device substrate following CMP processing. Such residues include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post- CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.

[0008] Another residue-producing process common to microelectronic device manufacturing involves gas-phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop layers. Post-gas phase plasma etch residues, which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures.

[0009] A need remains in the art for a residue removal composition and process that effectively removes residue while not damaging the underlying materials such as metals and dielectrics.

SUMMARY

[0009] The present invention generally relates to a composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon. The cleaning compositions of the invention include at least one novel polyamine. The residue may include post-CMP, post-etch, and/or post-ash residue.

[0010] In one aspect, a cleaning composition comprising at least one solvent, at least one corrosion inhibitor, at least one polyamine species, and at least one quaternary base, wherein the at least one polyamine species is at least one of an aliphatic polyamine or a cyclic polyamine, is described.

[0011] A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the cleaning composition comprises at least one solvent, at least one corrosion inhibitor, at least one polyamine species, and at least one quaternary base, wherein the at least one polyamine species is at least one of an aliphatic polyamine or a cyclic polyamine.

[0012] Other aspects, features and advantages will be more fully apparent from the ensuing disclosure and appended claims.

DETAILED DESCRIPTION, AND PREFERRED EMBODIMENTS THEREOF

[0013] The present invention relates generally to compositions useful for the removal of residue and contaminants from a microelectronic device having such material(s) thereon. The compositions are particularly useful for the removal of post-CMP, post-etch or post-ash residue.

[0014] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. Solar substrates include, but are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The solar substrates may be doped or undoped. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.

[0015] As used herein, "residue" corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.

[0016] As used herein, "contaminants" correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.

[0017] As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the byproducts of the CMP process.

[0018] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.

[0019] As defined herein, "complexing agent" includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.

[0020] As defined herein, the term "barrier material" corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and silicides.

[0021] As defined herein, "post-etch residue" corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing, or wet etching processes. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.

[0022] As defined herein, "post-ash residue," as used herein, corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti- reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.

[0023] "Substantially devoid" is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %.

[0024] As used herein, "about" is intended to correspond to ± 5 % of the stated value.

[0025] As defined herein, "reaction or degradation products" include, but are not limited to, product(s) or byproduct(s) formed as a result of catalysis at a surface, oxidation, reduction, reactions with the compositional components, or that otherwise polymerize; product(s) or byproduct(s) formed formed as a result of a change(s) or transformation(s) in which a substance or material (e.g., molecules, compounds, etc.) combines with other substances or materials, interchanges constituents with other substances or materials, decomposes, rearranges, or is otherwise chemically and/or physically altered, including intermediate product(s) or byproduct(s) of any of the foregoing or any combination of the foregoing reaction(s), change(s) and/or transformation(s). It should be appreciated that the reaction or degradation products may have a larger or smaller molar mass than the original reactant.

[0026] As used herein, "suitability" for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:

(Number of PreClean Objects - Number of PostClean Objects)

Cleaning Efficacy x lOO

Number of PreClean Objects

Notably, the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same. Alternatively, the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter. For example, AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest. One skilled in the art would readily understand that the less area covered by said areas of interest post-cleaning, the more efficacious the cleaning composition. Preferably, at least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.

[0027] Compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described.

[0028] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.

[0029] In a first aspect, a cleaning composition is described, wherein said cleaning composition comprises at least one polyamine species, at least one corrosion inhibitor, and at least one solvent. Preferably, the solvent comprises water, and more preferably deionized water.

[0030] In one embodiment of the first aspect, the cleaning composition comprises, consists of, or consists essentially of at least one polyamine species, at least one corrosion inhibitor, at least one quaternary base, at least one solvent (e.g., water), and optionally at least one additional species selected from the group consisting of at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, at least one surfactant, and NR R^R'OH, where R 1 , R 2 , R 3 and R 4 can be the same as or different from one another and are selected from the group consisting of H, a methyl and an ethyl group, with the proviso that at least one of R 1 , R 2 , R 3 and R 4 must be H. In another embodiment, the at least one additional species is selected from the group consisting of at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, and NR 1 R 2 R 3 R 4 OH, where R 1 , R 2 , R 3 and R 4 can be the same as or different from one another and are selected from the group consisting of H, a methyl and an ethyl group, with the proviso that at least one of R 1 , R 2 , R 3 and R 4 must be H, wherein the cleaning composition is substantially devoid of surfactant.

[0031] The cleaning compositions described herein include at least one amine species, for example (a) an aliphatic polyamine, (b) a cyclic polyamine, (c) amines having the general formula NR 1 R 2 R 3 , (d) multi-functional amines, (e) hydroxyalkyl-substituted alicyclic or aralkyl amines, and (f) combinations thereof. The compositions of the first aspect include at least one of an aliphatic polyamine, a cyclic polyamine, or combinations thereof. The at least one supplemental amines of the composition of the first aspect include amines having the general formula NR 1 R 2 R 3 , multi-functional amines, hydroxyalkyl-substituted alicyclic or aralkyl amines, and combinations thereof.

[0032] Aliphatic polyamines contemplated herein have the structure:

where m represents an integer between 0 and 10, n represents an integer between 1 and 10, R 1 to R 5 may be the same as or different from one another and can be hydrogen or a Ci-C 6 alkyl group (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl). Examples include diethylenetriamine, triethylenetetramine, tetraethylenepentamine, hexamethyleneheptamine, iminobispropylamine, bis(hexamethylene)triamine, pentaethylenehexamine, pentamethyldiethylenetriamine (PMDETA) and triethylenetetramine (TETA).

[0033] Cyclic polyamines contemplated herein can have the structure:

where R 1 represents a hydrogen atom, a Ci-C 6 alkyl group (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), an amino alkyl group (e.g., methylamine, ethylamine, propylamine, butylamine, pentylamine, hexylamine), or a hydroxyalkyl group (e.g., methanol, ethanol, propanol, butanol, pentanol, hexanol); and R 2 represents an alkyl group, an amino alkyl group, or a hydroxyalkyl group. Examples of the cyclic polyamine include a cyclic polyamine having an hydrogen atom at the R 1 position and an alkyl group at the R 2 position; a cyclic polyamine having an amino alkyl group or a hydroxyalkyl group; a cyclic polyamine having an alkyl group at both of the R 1 position and the R 2 position; a cyclic polyamine having an alkyl group at the R 1 position and an amino alkyl group or a hydroxyalkyl group at the R position; and a cyclic polyamine having an amino alkyl group or a hydroxyalkyl group at the R 1 position and an amino alkyl group or a hydroxyalkyl group at the R 2 position. Examples of the cyclic polyamine include N-methylpiperazine, N-ethylpiperazine, N-isobutylpiperazine, N- aminomethylpiperazine, N-aminoethylpiperazine, N-aminopropylpiperazine, N- hydroxymethylpiperazine, N-hydroxyethylpiperazine, N-hydroxypropylpiperazine, 1 ,4- dimethylpiperazine, 1 ,4-diethylpiperazine, 1 ,4-diisopropylpiperazine, 1 ,4-dibutylpiperazine, 1 - aminomethyl-4-methylpiperazine, 1 -hydroxymethyl-4-methylpiperazine, 1 -aminoethyl-4- ethylpiperazine, l -hydroxyethyl-4-ethylpiperazine, 1 ,4-(bisaminoethyl)piperazine, 1 ,4- (bishydroxyethyl)piperazine, 1 ,4-(bisaminopropyl)piperazine, 1 ,4-(bishydroxypropyl)piperazine, 1 - aminoethyl-4-hydroxyethylpiperazine, and l -aminopropyl-4-hydroxypropylpiperazine. Other cyclic polyamines contemplated include imidazoline (C 3 H 6 N 2 ) and imidazole derivatives. Using a cyclic polyamine, the removability of quinaldic acid can be improved.

[0034] Amines having the general formula NR'R 2 R 3 include the following, wherein R 1 , R 2 and R 3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), straight-chained or branched Ci-Ce alcohol (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol), and straight chained or branched ethers having the formula R 4 - O - R 5 , where R 4 and R 5 may be the same as or different from one another and are selected from the group consisting of Ci-C 6 alkyls as defined above. Most preferably, at least one of R 1 , R 2 and R 3 is a straight-chained or branched Ci-C 6 alcohol. Examples include, without limitation, alkanolamines such as aminoethylethanolamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, monoethanolamine, triethanolamine, l -amino-2-propanol, 2-amino-l -butanol, isobutanolamine, triethylenediamine, 2- amino -2 -methyl- 1 -propanol, dimethylaminoethanol, diethylaminoethanol, N,N-dimethyl-2- aminoethanol, hydroxyalkyl-substituted compounds of triethylenetetramine, other Ci - C 8 alkanolamines and combinations thereof. When the amine includes the ether component, the amine may be considered an alkoxyamine, e.g., l -methoxy-2-aminoethane.

[0035] Multi-functional amines include, but are not limited to, 4-(2-hydroxyethyl)morpholine (HEM), ethylenediaminetetraacetic acid (EDTA), l,2-cyclohexanediamine-N,N,N ' ,N ' -tetraacetic acid (CDTA), m-xylenediamine (MXDA), glycine/ascorbic acid, iminodiacetic acid (IDA), 2- (hydroxyethyl)iminodiacetic acid (HID A), nitrilotriacetic acid, thiourea, 1 , 1 ,3,3-tetramethylurea, urea, urea derivatives, uric acid, glycine, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, and combinations thereof. Hydroxyalkyl-substituted alicyclic or aralkyl amines include, but are not limited to: hydroxyalkyl-substituted compounds of 1 ,3- and 1 ,4- diaminocyclohexane, isophoronediamine, menthanediamine, 4,4'-methylene dicyclohexane diamine; hydroxyalkyl-substituted compounds of metaxylylene diamine, aminoethylbenzene; and hydroxyalkyl-substituted compounds of metaxylylene diamine, aminoethylbenzene.

[0036] The cleaning compositions of the first aspect include at least one corrosion inhibitor, where the corrosion inhibitor component is added to the cleaning composition to lower the corrosion rate of metals, e.g., copper, aluminum, as well as enhance the cleaning performance. Corrosion inhibitors contemplated include, but are not limited to: ribosylpurines such as N-ribosylpurine, adenosine, guanosine, 2-aminopurine riboside, 2-methoxyadenosine, and methylated or deoxy derivatives thereof, such as N-methyladenosine (C 11 H 15 N 5 O 4 ), Ν,Ν-dimethyladenosine (C 12 H 17 N 5 O 4 ), trimethylated adenosine (C 13 H 19 N 5 O 4 ), trimethyl N-methyladenosine (C 14 H 21 N 5 O 4 ), C-4'- methyladenosine, and 3-deoxyadenosine; degradation products of adenosine and adenosine derivatives including, but not limited to, adenine (C 5 H 5 N 5 ), methylated adenine (e.g., N-methyl-7H- purin-6-amine, C 6 H 7 N 5 ), dimethylated adenine (e.g., N,N-dimethyl-7H-purin-6-amine, C 7 H 9 N 5 ), N4,N4-dimethylpyrimidine-4,5,6-triamine (CeHnNs), 4,5,6-triaminopyrimidine, allantoin (C 4 H 6 N 4 03), hydroxylated C-O-O-C dimers ((CsftPSTsC^), C-C bridged dimers ((CsftPNTs^ or (C 5 H 4 N 5 0) 2 ), ribose (C 5 Hi 0 O 5 ), methylated ribose (e.g., 5-(methoxymethyl)tetrahydrofuran-2,3,4- triol, C 6 H 12 O 5 ), tetramethylated ribose (e.g., 2,3,4-trimethoxy-5-(methoxymethyl)tetrahydrofuran, C 9 H 18 0 5 ), and other ribose derivatives such as methylated hydrolyzed diribose compounds; purine- saccharide complexes including, but not limited to, xylose, glucose, etc.; other purine compounds such as purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and methylated or deoxy derivatives thereof; triaminopyrimidine and other substituted pyrimidines such as amino-substituted pyrimidines; dimers, trimers or polymers of any of the compounds, reaction or degradation products, or derivatives thereof; and combinations thereof. For example, the corrosion inhibitors may comprise at least one species selected from the group consisting of N-ribosylpurine, 2- aminopurine riboside, 2-methoxyadenosine, N-methyladenosine, N,N-dimethyladenosine, trimethylated adenosine, trimethyl N-methyladenosine, C-4'-methyladenosine, 3-deoxyadenosine; methylated adenine, dimethylated adenine, N4,N4-dimethylpyrimidine-4,5,6-triamine, 4,5,6- triaminopyrimidine, hydroxylated C-O-O-C dimers, C-C bridged dimers, ribose, methylated ribose, tetramethylated ribose, xylose, glucose, isoguanine, triaminopyrimidine, amino-substituted pyrimidines, and combinations thereof. Alternatively, the corrosion inhibitors may include at least one species selected from the group consisting of 2-methoxyadenosine, N-methyladenosine, N,N- dimethyladenosine, trimethylated adenosine, trimethyl N-methyladenosine, C-4'-methyladenosine, 3- deoxyadenosine and combinations thereof. In another alternative, the corrosion inhibitor comprises adenosine. In yet another alternative, the corrosion inhibitor comprises adenine. In still another alternative, the corrosion inhibitor includes adenosine degradation products and derivatives thereof. As disclosed, combinations of the corrosion inhibitors are also contemplated, for example, adenine in combination with a purine. [0037] Quaternary bases for the composition of the first aspect contemplated herein include compounds having the formula NR^R^OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-C 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C 6 -Ci 0 aryl, e.g., benzyl. Tetraalkylammonium hydroxides that are commercially available include tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof, may be used. Other quaternary ammonium bases include trialkyl- hydroxyalkylammonium salt, dialkyl-bis(hydroxyalkyl)ammonium salt and tris(hydroxyalkyl)alkylammonium salt, in which the alkyl group or hydroxyalkyl group has a carbon number of 1 to 4 Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH, TBAH, TBMAH, and BTMAH, which are known to one ordinary of skill in the art. Another widely used quaternary ammonium base is choline hydroxide. Although not a quaternary base, it is contemplated that the bases cesium hydroxide or rubidium hydroxide may be used in the absence of or the presence of the above-identified quaternary bases. Preferably, the quaternary base comprises TMAH.

[0038] The cleaning compositions of the first aspect may further include supplemental corrosion inhibitors, in addition to the corrosion inhibitors enumerated above, including, but not limited to, ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzotriazole, citric acid, ethylenediamine, gallic acid, oxalic acid, tannic acid, 1 ,2,4-triazole (TAZ), tolyltriazole, 5- phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-l,2,4-triazole, l-amino-1,2,4- triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1,2,3-triazole, l-amino-1,2,3- triazole, l-amino-5-methyl-l,2,3-triazole, 3-amino-l,2,4-triazole, 3-mercapto-l,2,4-triazole, 3- isopropyl-l,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, CI, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2- phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, 5-amino-l,3,4-thiadiazole-2-thiol, 2,4- diamino-6-methyl-l,3,5-triazine, thiazole, triazine, methyltetrazole, l,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, l-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H- 1 ,2,4-triazole-3 -thiol, 5-amino- 1 ,3 ,4-thiadiazole-2 -thiol, benzothiazole, tritolyl phosphate, imidazole, indiazole, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, quinolone derivatives, quinoxaline derivatives, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, alpha-keto acids such as pyruvic acid, phosphonic acid and derivatives thereof such as l-hydroxyethylidene-l,l-diphosphonic acid (HEDP), propanethiol, benzohydroxamic acids, heterocyclic nitrogen inhibitors, potassium ethylxanthate, and combinations thereof. For example, the cleaning compositions may include the combination of phenanthroline and ascorbic acid or glycine and ascorbic acid. In another preferred embodiment, the cleaning compositions include 1,2,4-triazole. In still another preferred embodiment, the cleaning compositions comprises HEDP.

[0039] Reducing agent(s) for the composition of the first aspect contemplated herein include species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, gallic acid, glyoxal, erythorbic acid, benzaldehyde, sulfurous acid and salts thereof, thiosulfuric acid and salts thereof, and combinations thereof. In a particularly preferred embodiment, the cleaning composition includes ascorbic acid. In another particularly preferred embodiment, the cleaning composition includes ascorbic acid and gallic acid.

[0040] Illustrative alcohols for the composition of the first aspect include straight-chained or branched Ci-Ce alcohols (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol), diols and triols. Preferably, the alcohol comprises isopropanol (IP A).

[0041] Illustrative surfactants for use in the compositions of the first aspect include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, non-ionic surfactants, and combinations thereof including, but not limited to, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-l -propanesulfonic acid salt, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, Ri benzene sulfonic acids or salts thereof (where the Ri is a straight-chained or branched Cg-Cig alkyl group), amphiphilic fluoropolymers, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, C 8 -C 18 alkyl phosphate ethers, carboxylic acid salts, alkylaryl sulfonic acids where the alkyl group is a C 8 -C 18 alkyl such as dodecylbenzenesulfonic acid, alkylaryl phosphonic acids where the alkyl group is a C 8 -C 18 alkyl, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations comprising at least one of the foregoing surfactants, sodium dodecyl sulfate, zwitterionic surfactants, aerosol-OT (AOT) and fluorinated analogues thereof, alkyl ammonium, perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate-based surfactants, sulfur-based surfactants, and acetoacetate-based polymers. In a preferred embodiment, the surfactant includes an alkyl benzene sulfonic acid, more preferably dodecylbenzenesulfonic acid. When present, the amount of surfactant may be in a range from about 0.001 wt % to about 0.5 wt%, based on the total weight of the concentrate.

[0042] The optional complexing agents for the composition of the first aspect contemplated herein include, but are not limited to, acetic acid, acetone oxime, acrylic acid, adipic acid, alanine, arginine, asparagine, aspartic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutamic acid, glutamine, glutaric acid, glyceric acid, glycerol, glycolic acid, glyoxylic acid, histidine, iminodiacetic acid, isophthalic acid, itaconic acid, lactic acid, leucine, lysine, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phenylalanine, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, serine, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, valine, xylitol, salts and derivatives thereof, and combinations thereof. In a preferred embodiment, preferably the complexing agent comprises histidine.

[0043] In another embodiment of the cleaning compositions of the first composition, the composition further comprises ammonium hydroxide or NR^R^OH, where R 1 , R 2 , R 3 and R 4 can be the same as or different from one another and are selected from the group consisting of H, a methyl and an ethyl group, with the proviso that at least one of R 1 , R 2 , R 3 and R 4 must be H. Preferably, the additional hydroxide is added to the cleaning composition at the point of use.

[0044] The pH of the cleaning compositions of the first aspect is greater than 7, preferably in a range from about 8 to greater than 14, more preferably in a range from about 8 to about 13.

[0045] In a particularly preferred embodiment of the first aspect, the cleaning composition comprises, consists of or consists essentially of at least one quaternary base, at least one polyamine species, at least one corrosion inhibitor, and at least one solvent (e.g., water), wherein the corrosion inhibitor is selected from the group consisting of adenosine, adenosine degradation products, and derivatives thereof. The cleaning composition may optionally further comprise at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, at least one surfactant, and NR 1 R 2 R 3 R 4 OH (as defined above), residue material, or combinations thereof.

[0046] In a further preferred embodiment of the first aspect, a composition comprising, consisting of, or consisting essentially of at least one quaternary base, at least one polyamine species, at least one corrosion inhibitor, and at least one solvent is described, wherein the corrosion inhibitor is selected from the group consisting of adenosine, adenosine degradation products, and derivatives thereof, and wherein the at least one polyamine species comprises a species selected from the group consisting of: diethylenetriamine, triethylenetetramine, tetraethylenepentamine, hexamethyleneheptamine, iminobispropylamine, bis(hexamethylene)triamine, pentaethylenehexamine, pentamethyldiethylenetriamine (PMDETA) and triethylenetetramine (TETA). Preferably, the at least one polyamine species comprises PMDETA. The cleaning compositions may optionally further comprise at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, at least one surfactant, and NR 1 R 2 R 3 R 4 OH (as defined above), residue material, or combinations thereof.

[0047] In a further preferred embodiment of the first aspect, a composition comprising, consisting of, or consisting essentially of at least one quaternary base, at least one polyamine species, at least one corrosion inhibitor, and at least one solvent is described, wherein the corrosion inhibitor is selected from the group consisting of adenosine, adenosine degradation products, and derivatives thereof, and wherein the at least one polyamine species comprises a species selected from the group consisting of: N-methylpiperazine, N-ethylpiperazine, N-isobutylpiperazine, N-aminomethylpiperazine, N- aminoethylpiperazine (AEP), N-aminopropylpiperazine, N-hydroxymethylpiperazine, N- hydroxyethylpiperazine, N-hydroxypropylpiperazine, 1 ,4-dimethylpiperazine, 1 ,4-diethylpiperazine, 1 ,4-diisopropylpiperazine, 1 ,4-dibutylpiperazine, l-aminomethyl-4-methylpiperazine, 1 - hydroxymethyl-4-methylpiperazine, 1 -aminoethyl-4-ethylpiperazine, 1 -hydroxyethyl-4- ethylpiperazine, 1 ,4-(bisaminoethyl)piperazine, 1 ,4-(bishydroxyethyl)piperazine, 1 ,4- (bisaminopropyl)piperazine, 1 ,4-(bishydroxypropyl)piperazine, 1 -aminoethyl-4- hydroxyethylpiperazine, and l-aminopropyl-4-hydroxypropylpiperazine. Preferably, the at least one polyamine species comprises AEP. The cleaning compositions may optionally further comprise at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, at least one surfactant, and NR R^R'OH (as defined above), residue material, or combinations thereof.

[0048] The cleaning composition of the first aspect is particularly useful for cleaning residue and contaminants, e.g., post-CMP residue, post-etch residue, post-ash residue, and contaminants from a microelectronic device structure. Regardless of the embodiment, the cleaning compositions of the first aspect are preferably substantially devoid of at least one of oxidizing agents; fluoride-containing sources; abrasive materials; an alcohol having an ether-bond in the molecule; maleic acid; alkylpyrrolidones; surface interaction enhancing agents including, but not limited to, poly(acrylamide- co-diallyldiemethylammonium chloride), poly(acrylamide), poly(acrylic acid), poly(diallyldiemethylammonium chloride), diallyldimethylammonium chloride, acrylamide, acetoguanamine, and combinations thereof; alkali and/or alkaline earth metal bases; sugar alcohols; corrosion inhibiting metal halides; and combinations thereof, prior to removal of residue material from the microelectronic device. In addition, the cleaning compositions of the first aspect should not solidify to form a polymeric solid, for example, photoresist.

[0049] In a particularly preferred embodiment of the first aspect, the cleaning composition comprises, consists of, or consists essentially of tetramethylammonium hydroxide, at least one polyamine species, at least one corrosion inhibitor, and water. For example, the cleaning composition can comprise, consist of or consist essentially of TMAH, at least one polyamine species, adenosine and water. In another particularly preferred embodiment, the cleaning composition comprises, consists of, or consists essentially of tetramethylammonium hydroxide, at least one polyamine species, at least one corrosion inhibitor, at least one reducing agent, and water. For example, the the cleaning composition can comprise, consist of or consist essentially of TMAH, PMDETA, adenosine, at least one reducing agent, and water, wherein the at least one reducing agent comprises ascorbic acid, gallic acid, or a combination of ascorbic and gallic acids. In another example, the cleaning composition can comprise, consist of or consist essentially of TMAH, N-AEP, adenosine, at least one reducing agent, and water, wherein the at least one reducing agent comprises ascorbic acid, gallic acid, or a combination of ascorbic and gallic acids.

[0050] With regards to compositional amounts, the weight percent ratios of each component in the composition of the first aspect is preferably as follows: about 0.1 :1 to about 100:1 quaternary base to corrosion inhibitor, preferably about 10:1 to about 70:1, even more preferably about 25:1 to about 55:1, and most preferably about 45:1 to about 55:1; and about 0.1 :1 to about 100:1 polyamine species to corrosion inhibitor, preferably about 10:1 to about 70:1, even more preferably about 25:1 to about 60:1, and most preferably about 40:1 to about 60:1. It is possible that the corrosion inhibitor will undergo degradation in the presence of the quaternary base and as such, the weight percent ratios correspond to the concentrate at the time of combination of the quaternary base and the corrosion inhibitor. It will be understood by one skilled in the art that in the event the corrosion inhibitor does undergo degradation, the weight percent may change over time and is monitorable using techniques and mathematical principles known in the art. The concentration of the corrosion inhibitor in the concentrate at the time of combination of the quaternary base and the corrosion inhibitor is in the range of from about 0.001 wt% to about 2 wt%, preferably about 0.001 wt% to about 1.5 wt% and most preferably about 0.1 wt% to about 1.1 wt%.

[0051] In another particularly preferred embodiment, the cleaning composition of the first aspect comprises, consists of or consists essentially of tetramethylammonium hydroxide, PMDETA, at least one corrosion inhibitor, at least one reducing agent, and water, wherein the at least one corrosion inhibitor comprises adenosine, adenosine degradation products, and derivatives thereof, and wherein the at least one reducing agent comprises ascorbic acid, gallic acid, or a combination of ascorbic and gallic acids. With regards to compositional amounts, the weight percent ratios of each component is preferably as follows: about 0.1 :1 to about 100:1 quaternary base to corrosion inhibitor, preferably about 10:1 to about 70:1, even more preferably about 25:1 to about 55:1, and most preferably about 45:1 to about 55:1; about 0.1:1 to about 150:1 PMDETA to corrosion inhibitor, preferably about 40:1 to about 80:1, even more preferably about 50:1 to about 60:1; about 10:1 to about 120:1 reducing agent to corrosion inhibitor, preferably about 60:1 to about 100:1, and most preferably about 80:1 to about 95:1. For example, the cleaning composition of the first aspect can comprise about 1 wt% to about 5 wt% TMAH, about 2 wt% to about 7 wt% PMDETA, about 1 wt% to about 5 wt% ascorbic acid, about 0.5 wt% to about 1.5 wt% gallic acid, about 0.01 wt% to about 0.2 wt% adenosine, and about 81.3 wt% to about 95.5 wt% water.

[0052] In a second aspect, a cleaning composition comprising, consisting of, or consisting essentially of: an amine; a polyphenol compound having 2 to 5 hydroxyl groups, at least two of which are bound to the ortho position or para position of an aromatic ring; a basic compound; and water, wherein the pH of the composition of the second aspect is from 8.0 to 13.0. [0053] The preferred amine(s) for the composition of the second aspect include at least one of: aliphatic polyamines; amines having the general formula NR R 2 !^ 3 ; multi-functional amines; hydroxyalkyl-substituted alicyclic or aralkyl amines; and combinations thereof. Most preferably, the at least one amine of the composition of the second aspect comprises a species selected from the group consisting of triethanolamine, hydroxyalkyl-substituted compounds of triethylenetetramine, tetramethylethylenediamine, pentamethyldiethylenetriamine, 1 , 1 -dimethyldiethylenetriamine, hexamethyltriethylenetetramine, pentamethyldiethylenetriamine, tetramethylethylenediamine, hexamethyltriethylenetetramine, and combinations thereof.

[0054] The polyphenol compound is a compound in which 2 to 5 hydroxyl groups are bound to an aromatic ring, etc., at least two of such hydroxyl groups contain a phenol skeleton bound to the ortho position or para position of the aromatic group, the compound having an HLB of from 15 to 40. Note that other functional groups such as hydrocarbon groups and carboxyl groups can be bound to the aromatic ring as well.

[0055] Specific examples of the polyphenol compound having two hydroxyl groups can include catechol (HLB=17.9), caffeic acid (HLB=20.5) and hydroquinone (HLB=17.9). Examples of the polyphenol compound having three hydroxyl groups (B2) may include pyrogallol (HLB=26.3), gallic acid (HLB=33.2), gallic acid amide (HLB=36.8) and propyl gallate (HLB=18.8). Examples of the polyphenol compound having four or five hydroxyl groups (B3) may include quercetin (HLB=23.7) and catechin (HLB=21.2).

[0056] The "HLB" referred to herein is an index indicating the balance between hydrophilicity and lipophilicity, which is known as a calculated value obtained by Oda's method described in, for example, "Introduction to Surfactants," (Takehiko Fujimoto, 2007, Sanyo Chemical Industries, Ltd) page 212, but not the one obtained by Griffin's method. Specifically, the HLB value can be calculated from the ratio between an organic level value and an inorganic level value of an organic compound.

HLB ~ 10 x inorganic level / organic level

The organic level value and inorganic level value used for deriving the HLB value can be calculated based on values in the table shown in the above-mentioned "Introduction to Surfactants," page 213.

[0057] Of these polyphenol compounds, the polyphenol compound having three hydroxyl groups and the polyphenol compound having four or five hydroxyl groups are preferable in terms of the corrosion inhibiting properties for copper wires. More preferable polyphenol compound in terms of stability over time in the cleaner is the polyphenol compound having three hydroxyl groups (B2) with an HLB of from 17 to 38. Furthermore, a gallic acid having a carboxyl group is particularly preferable in terms of metallic residue removability. [0058] The at least one base of the composition of the second aspect includes a quaternary ammonium hydroxide as introduced hereinabove, ammonia, and combinations thereof. Preferably, the quaternary base comprises TMAH, TEAH, (hydroxyethyl)trimethylammonium hydroxide, or any combination thereof.

[0059] The composition of the second aspect can further include at least one reducing agent, e.g., L- ascorbic acid, isoascorbic acid, and erythorbic acid.

[0060] The pH in use of the cleaner composition of the second aspect is, in terms of the corrosion inhibiting properties for copper wires and the metallic residue removability, generally from 8.0 to 13.0, preferably from 9.0 to 13.0, and more preferably from 9.5 to 12.0.

[0061] The composition of the second aspect can further include at least one additional component selected from the group consisting of at least one surfactant, at least one complexing agent, at least one corrosion inhibitor (or supplemental corrosion inhibitor described hereinabove), and any combination thereof.

[0062] The components of the composition of the second aspect comprising, consisting of, or consisting essentially of: an amine; a polyphenol compound having 2 to 5 hydroxyl groups, at least two of which are bound to the ortho position or para position of an aromatic ring; a basic compound; water; and optionally at least one reducing agent, are present in the following weight percent, based on the total weight of the composition:

The content of the reducing agent, when present, in terms of the metallic residue removability, preferably satisfies Relational Expression (1) below and more preferably satisfies Relational Expression (2) below.

Relational Expression (1): 0.7 < {[polyphenol]+[reducing agent]} / [basic compound] < 1.3 Relational Expression (2): 0.9 < {[polyphenol]+[reducing agent]} / [basic compound] < 1.2 Note that [polyphenol], [basic compound] and [reducing agent] in Expressions (1) and (2) represent molar concentrations (mole/L) of the polyphenol compound, basic compound and reducing agent, respectively.

[0063] The cleaning composition of the second aspect is particularly useful for cleaning residue and contaminants, e.g., post-CMP residue, post-etch residue, post-ash residue, and contaminants from a microelectronic device structure. Regardless of the embodiment, the cleaning compositions of the second aspect are preferably substantially devoid of at least one of oxidizing agents; fluoride- containing sources; abrasive materials; an alcohol having an ether-bond in the molecule; maleic acid; alkylpyrrolidones; surface interaction enhancing agents including, but not limited to, poly(acrylamide- co-diallyldiemethylammonium chloride), poly(acrylamide), poly(acrylic acid), poly(diallyldiemethylammonium chloride), diallyldimethylammonium chloride, acrylamide, acetoguanamine, and combinations thereof; alkali and/or alkaline earth metal bases; sugar alcohols; corrosion inhibiting metal halides; and combinations thereof, prior to removal of residue material from the microelectronic device. In addition, the cleaning compositions of the second aspect should not solidify to form a polymeric solid, for example, photoresist.

[0064] The range of weight percent ratios of the components of the composition of the first or second aspect will cover all possible concentrated or diluted embodiments of the compositions. Towards that end, in one embodiment, a concentrated cleaning composition is provided that can be diluted for use as a cleaning solution. A concentrated composition, or "concentrate," advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and pH at the point of use. Dilution of the concentrated cleaning composition may be in a range from about 1 :1 to about 2500:1, preferably about 5:1 to about 200:1, and most preferably about 10:1 to about 50:1, wherein the cleaning composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.

[0065] The compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post-CMP residue removal. In addition, it is contemplated that the cleaning compositions described herein may be useful for the cleaning and protection of other metal (e.g., copper-containing) products including, but not limited to, decorative metals, metal wire bonding, printed circuit boards and other electronic packaging using metal or metal alloys.

[0066] In yet another preferred embodiment, the cleaning compositions of the first or second aspect described herein further include residue and/or contaminants. The residue and contaminants may be dissolved and/or suspended in the respective compositions. Preferably, the residue includes post- CMP residue, post-etch residue, post-ash residue, contaminants, or combinations thereof. [0067] The cleaning compositions of the first aspect are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.

[0068] The cleaning compositions of the second aspect can be formulated by the simple addition of the respective ingredients and mixing to homogeneous condition. A preferable method is such that the water and the amine and basic compound are blended together and then blended with the polyphenol compound and other ingredient(s), as needed, since such method enables uniform blending to be performed easily in a short time. The temperature and time for performing the uniform blending is not limited and may be determined as appropriate in accordance with the manufacturing scale, manufacturing facility, etc. As blending apparatuses, a stirrer or a disperser may be used. Examples of the stirrer may include a mechanical stirrer and a magnetic stirrer. Examples of the disperser may include a homogenizer, an ultrasonic disperser, a ball mill and a bead mill.

[0069] Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions described herein. The kit may include, in one or more containers, at least one corrosion inhibitor, at least one quaternary base, at least one polyamine species, and optionally at least one additional species selected from the group consisting of at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, and at least one surfactant, for combining with additional solvent, e.g., water and/or NR^R^OH (as defined above), at the fab or the point of use. Alternatively, the kit may include, in a first container at least one corrosion inhibitor, and in a second container at least one quaternary base, at least one polyamine species, and optionally at least one additional species selected from the group consisting of at least one reducing agent, at least one complexing agent, at least one supplemental corrosion inhibitor, at least one supplemental amine, at least one alcohol, and at least one surfactant, for combining with each other and additional solvent, e.g., water and/or NR R^R'OH (as defined above), at the fab or the point of use. In still another alternative, the kit may include, in a first container, an amine; a polyphenol compound having 2 to 5 hydroxyl groups, at least two of which are bound to the ortho position or para position of an aromatic ring; a basic compound; optionally at least one reducing agent; and water, for combining with each other and additional solvent, e.g., water, at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). [0070] The one or more containers which contain the components of the cleaning compositions described herein preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.

[0071] Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).

[0072] Regarding the containers for the kits, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Patent No. 7,188,644 entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;" U.S. Patent No. 6,698,619 entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;" U.S. Patent Application No. 60/916,966 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2007 in the name of John E.Q. Hughes, and PCT/US08/63276 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2008 in the name of Advanced Technology Materials, Inc.

[0073] As applied to microelectronic manufacturing operations, the cleaning compositions described herein are usefully employed to clean post-CMP residue and/or contaminants from the surface of the microelectronic device. The cleaning compositions do not damage low-k dielectric materials or corrode metal interconnects on the device surface. Preferably the cleaning compositions remove at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%. [0074] In post-CMP residue and contaminant cleaning application, the cleaning compositions described herein may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion™/Reflexion LK™, and Megasonic batch wet bench systems.

[0075] In use of the cleaningcompositions described herein for cleaning post-CMP residue, post-etch residue, post-ash residue and/or contaminants from microelectronic devices having same thereon, the cleaning composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 15 sec to about 5 min at temperature in a range of from about 20°C to about 90°C, preferably about 20°C to about 50°C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post-CMP residue/contaminants from the device, within the broad practice of the method. "At least partially clean" and "substantial removal" both correspond to at removal of at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %

[0076] Following the achievement of the desired cleaning action, the cleaning composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein. Preferably, the rinse solution includes deionized water. Thereafter, the device may be dried using nitrogen or a spin-dry cycle.

[0077] Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.

[0078] Another aspect relates to a recycled cleaning composition, wherein the cleaning composition may be recycled until residue and/or contaminant loading reaches the maximum amount the cleaning composition may accommodate, as readily determined by one skilled in the art.

[0079] A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, using a cleaning composition described herein.

[0080] In another aspect, a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon is described, said method comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with a cleaning composition comprising at least one polyamine species and at least one corrosion inhibitor, for a sufficient time to remove post- CMP residue and contaminants from the microelectronic device to form a post-CMP residue- containing composition; and continuously contacting the microelectronic device with the post-CMP residue-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device,

wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of ribosylpurines and methylated or deoxy derivatives thereof; adenosine; degradation products of adenosine and adenosine derivatives; purine-saccharide complexes; other purine compounds and methylated or deoxy derivatives thereof; and combinations thereof, and wherein the at least one polyamine species comprises a species selected from the group consisting of: diethylenetriamine, triethylenetetramine, tetraethylenepentamine, hexamethyleneheptamine, iminobispropylamine, bis(hexamethylene)triamine, pentaethylenehexamine, pentamethyldiethylenetriamine (PMDETA) and triethylenetetramine (TETA).

[0081] In still another aspect, a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon is described, said method comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with a cleaning composition comprising at least one polyamine species and at least one corrosion inhibitor, for a sufficient time to remove post- CMP residue and contaminants from the microelectronic device to form a post-CMP residue- containing composition; and continuously contacting the microelectronic device with the post-CMP residue-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device,

wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of ribosylpurines and methylated or deoxy derivatives thereof; adenosine; degradation products of adenosine and adenosine derivatives; purine-saccharide complexes; other purine compounds and methylated or deoxy derivatives thereof; and combinations thereof, and N-methylpiperazine, N- ethylpiperazine, N-isobutylpiperazine, N-aminomethylpiperazine, N-aminoethylpiperazine (AEP), N- aminopropylpiperazine, N-hydroxymethylpiperazine, N-hydroxyethylpiperazine, N- hydroxypropylpiperazine, 1 ,4-dimethylpiperazine, 1 ,4-diethylpiperazine, 1 ,4-diisopropylpiperazine, 1 ,4-dibutylpiperazine, l-aminomethyl-4-methylpiperazine, l-hydroxymethyl-4-methylpiperazine, 1- aminoethyl-4-ethylpiperazine, 1 -hydroxyethyl-4-ethylpiperazine, 1 ,4-(bisaminoethyl)piperazine, 1 ,4- (bishydroxyethyl)piperazine, 1 ,4-(bisaminopropyl)piperazine, 1 ,4-(bishydroxypropyl)piperazine, 1 - aminoethyl-4-hydroxyethylpiperazine, and 1 -aminopropyl-4-hydroxypropylpiperazine.

[0082] In still another aspect, a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon is described, said method comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with a cleaning composition comprising: an amine; a polyphenol compound having 2 to 5 hydroxyl groups, at least two of which are bound to the ortho position or para position of an aromatic ring; a basic compound; and water, for a sufficient time to remove post-CMP residue and contaminants from the microelectronic device to form a post-CMP residue -containing composition; and continuously contacting the microelectronic device with the post-CMP residue-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device.

[0083] Another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises at least one solvent, at least one corrosion inhibitor, at least one polyamine species, and at least one quaternary base, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of ribosylpurines and methylated or deoxy derivatives thereof; adenosine; degradation products of adenosine and adenosine derivatives; purine-saccharide complexes; other purine compounds and methylated or deoxy derivatives thereof; and combinations thereof, wherein the residue comprises at least one of post-CMP residue, post-etch residue and post-ash residue, and wherein the at least one polyamine species comprises a species selected from the group consisting of: diethylenetriamine, triethylenetetramine, tetraethylenepentamine, hexamethyleneheptamine, iminobispropylamine, bis(hexamethylene)triamine, pentaethylenehexamine, pentamethyldiethylenetriamine (PMDETA) and triethylenetetramine (TETA).

[0084] Still another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises at least one solvent, at least one corrosion inhibitor, at least one polyamine species, and at least one quaternary base, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of ribosylpurines and methylated or deoxy derivatives thereof; adenosine; degradation products of adenosine and adenosine derivatives; purine-saccharide complexes; other purine compounds and methylated or deoxy derivatives thereof; and combinations thereof, wherein the residue comprises at least one of post-CMP residue, post-etch residue and post-ash residue, and N- methylpiperazine, N-ethylpiperazine, N-isobutylpiperazine, N-aminomethylpiperazine, N- aminoethylpiperazine (AEP), N-aminopropylpiperazine, N-hydroxymethylpiperazine, N- hydroxyethylpiperazine, N-hydroxypropylpiperazine, 1 ,4-dimethylpiperazine, 1 ,4-diethylpiperazine, 1 ,4-diisopropylpiperazine, 1 ,4-dibutylpiperazine, l -aminomethyl-4-methylpiperazine, 1- hydroxymethyl-4-methylpiperazine, 1 -aminoethyl-4-ethylpiperazine, 1 -hydroxyethyl-4- ethylpiperazine, 1 ,4-(bisaminoethyl)piperazine, 1 ,4-(bishydroxyethyl)piperazine, 1 ,4- (bisaminopropyl)piperazine, 1 ,4-(bishydroxypropyl)piperazine, 1 -aminoethyl-4- hydroxyethylpiperazine, and 1 -aminopropyl-4-hydroxypropylpiperazine.

[0085] Still another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises: an amine; a polyphenol compound having 2 to 5 hydroxyl groups, at least two of which are bound to the ortho position or para position of an aromatic ring; a basic compound; and water.

[0086] The features and advantages of the invention are more fully illustrated by the following non- limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.

Example 1

[0087] The cleaners for copper wire semiconductors according to the present invention and the cleaners for comparison were obtained by blending the substances shown in Table 1 in a polyethylene container.

[0088] The cleaning compositions described herein as well as comparative cleaning compositions were measured and evaluated for their benzotriazole residue removability, quinaldic acid residue removability and metallic residue removability, according to the following procedures.

The evaluation results are shown in Table 1.

Evaluation Method of Benzotriazole Residue Removability

[0089] The evaluation of the benzotriazole residue removability was conducted according to the following procedure.

(1) Cleaning of Copper-Coated Silicon Wafer - A copper-coated silicon wafer ("Cu-coated 10000A Wafer" manufactured by Advanced Materials Technology; film thickness of the copper coating = 1.0 μηι) was cut into a piece 1.5 cm long and 1.5 cm wide, immersed in a 10% aqueous solution of acetic acid for 1 minute, and then cleaned with ultrapure water. (2) Preparation of Benzotriazole Residue Liquid - First, 0.4g benzotriazole, 0.6g hydrogen peroxide solution at 30% concentration, and 200g ultrapure water were blended and then the pH thereof was adjusted to 3.0 using a hydrochloric acid to thereby prepare a benzotriazole residue liquid.

(3) Preparation of Copper-Coated Wafer with Benzotriazole Residues Attached Thereto - The copper- coated wafer was immersed in the benzotriazole residue liquid prepared in step (2) for 60 seconds and then immersed in ultrapure water for 60 seconds to prepare the copper-coated wafer with benzotriazole residues attached thereto.

(4) Measurement of Amount of Benzotriazole Residues Attached to Copper-Coated Wafer - The amount of nitrogen originating from benzotriazole, being benzotriazole residues, was measured using an X-ray photoelectron spectrometer (XPS) (ESCA-5400 manufactured by ULVAC-PHI INCORPORATED) to thereby measure the amount of benzotriazole residues attached to the copper- coated wafer. Specifically, the number of photoelectrons was measured using the XPS within a range of binding energy from 397 eV to 399 eV to determine a peak area value within the range from 397.5 to 398.4 eV originating from nitrogen. MgKa rays (1253.6 eV) were used as the soft X-rays.

(5) Removal of Benzotriazole Residues Attached to Copper-Coated Wafer - The copper-coated wafer having the benzotriazole residues attached thereto, which was prepared in step (3), was immersed in 50g of the respective composition for 3 minutes to remove the benzotriazole residues from the copper- coated wafer. Then, the copper-coated wafer was immersed in 1L of ultrapure water for 60 seconds and the wafer surface was dried with a stream of nitrogen.

(6) Measurement of Amount of Benzotriazole Residues Remaining on Copper-Coated Wafer - The amount of nitrogen originating from benzotriazole, being the organic residues, was measured using the XPS in the same way as in step (4) to measure the amount of benzotriazole residues remaining on the copper-coated wafer.

(7) Evaluation of Benzotriazole Residue Removability - The benzotriazole residue removal rate was calculated by substituting the peak area values measured using the XPS in steps (4) and (6), respectively, into Equation (1) below.

Equation (1)

( Xa— Xb

Benzotriazole residue removal rate (%) = x 100 (1)

Xa

Xa: The peak area value of nitrogen originating from benzotriazole before removing the benzotriazole residues

Xb: The peak area value of nitrogen originating from benzotriazole after removing the benzotriazole residues

The benzotriazole residue removability was evaluated from the calculated benzotriazole residue removal rate based on the following criteria.

A: Benzotriazole residue removal rate of 95% or higher

B: Benzotriazole residue removal rate of from 85% to 95% C: Benzotriazole residue removal rate of from 75% to 85%

D: Benzotriazole residue removal rate of lower than 75%

Evaluation Method of Quinaldic Acid Residue Removability

[0090] The evaluation of the quinaldic acid residue removability was conducted according to the following procedure.

(1) Cleaning of Copper-Coated Silicon Wafer - A copper-coated silicon wafer (film thickness of the copper coating = 1.0 μιη) was cut into a piece 1.5 cm long and 1.5 cm wide, immersed in a 10% aqueous solution of acetic acid for 1 minute, and then cleaned with ultrapure water.

(2) Preparation of Quinaldic Acid Residue Liquid - First, 0.4g quinaldic acid, 0.6g hydrogen peroxide solution at 30% concentration, and 200g ultrapure water were blended and then the pH thereof was adjusted to 3.0 using a hydrochloric acid to thereby prepare a quinaldic acid residue liquid.

(3) Preparation of Copper-Coated Wafer with Quinaldic Acid Residues Attached Thereto -The copper-coated wafer was immersed in the quinaldic acid residue liquid prepared in step (2) for 60 seconds and then immersed in ultrapure water for 60 seconds to prepare the copper-coated wafer with quinaldic acid residues attached thereto.

(4) Measurement of Amount of Quinaldic Acid Residues Attached to Copper-Coated Wafer - The amount of nitrogen originating from quinaldic acid, being quinaldic acid residues, was measured by measuring the number of photoelectrons using the above-mentioned X-ray photoelectron spectrometer within a range of binding energy from 397 eV to 399 eV to thereby measure the amount of quinaldic acid residues attached to the copper-coated wafer.

(5) Removal of Quinaldic Acid Residues Attached to Copper-Coated Wafer - The copper-coated wafer having the quinaldic acid residues thereon, which was prepared in step (3), was immersed in 50g of the respective composition for 3 minutes to remove the quinaldic acid residues from the copper-coated wafer. Then, the copper-coated wafer was immersed in 1L of ultrapure water for 60 seconds and the wafer surface was dried with a stream of nitrogen.

(6) Measurement of Amount of Quinaldic Acid Residues Remaining on Copper-Coated Wafer - The amount of nitrogen originating from quinaldic acid, being the organic residues, was measured using the XPS in the same way as in step (4) to measure the amount of quinaldic acid residues remaining on the copper-coated wafer.

(7) Evaluation of Quinaldic Acid Residue Removability - The quinaldic acid residue removal rate was calculated by substituting the peak area values measured using the XPS in steps (4) and (6), respectively, into Equation (2) below.

Equation (2)

(Ya - Yb)

Quinaldic acid residue removal rate (%) = x 100 (2)

Ya Ya: The peak area value of nitrogen originating from quinaldic acid before removing the quinaldic acid residues

Yb: The peak area value of nitrogen originating from quinaldic acid after removing the quinaldic acid residues

The quinaldic acid residue removability was evaluated from the calculated quinaldic acid residue removal rate based on the following criteria.

A: Quinaldic acid residue removal rate of 95% or higher

B: Quinaldic acid residue removal rate of from 85% to 95%

C: Quinaldic acid residue removal rate of from 75% to 85%

D: Quinaldic acid residue removal rate of lower than 75%

Evaluation Method of Metallic Residue Removability

[0091] The evaluation of the metallic residue removability was conducted according to the following procedure.

(1) Pretreatment of Wafer Having Single Layer Silicon Oxide Film - A silicon wafer having a single layer silicon oxide film ("P-TEOS1.5 μ" manufactured by ADVANTECH; film thickness of the silicon oxide = 1.5 μιη) was cut into a piece 1.0 cm long and 2.0 cm wide, immersed in a 10% aqueous solution of acetic acid for 1 minute, and then cleaned with ultrapure water.

(2) Preparation of an Aqueous Solution Containing Metal Ions - Water was added to 0.1 parts by weight of zinc nitrate, 0.1 parts by weight of iron nitrate and 0.1 parts by weight of magnesium nitrate so that the total weight was 100 g to prepare an aqueous solution containing 0.1 wt% of metal ions of zinc, iron and magnesium, respectively.

(3) Contamination Treatment of Wafer Using Aqueous Solution of Metal Ions - The pretreated wafer piece was immersed in 10 g of aqueous solution containing metal ions for 1 minute and then dried by nitrogen blow down to thereby attach the metal ions to the wafer surface.

(4) Cleaning of Wafer - The wafer piece subjected to the contamination treatment was immersed in lOg of each respective composition. The wafer piece was kept stable at 25 degrees Celsius for 3 minutes and then removed from the cleaner.

(5) Measurement of Concentration of Metal Ions Eluted from Wafer Surface into Cleaner - After weighing and taking 5g of the respective composition used for immersion, the pH thereof was adjusted to 3.0 by adding an aqueous solution of nitric acid. Then, pure water was added until the total weight became 10 g so as to obtain a sample solution for measurement. The concentration of metal ions of zinc, iron and magnesium contained in the sample solution for measurement was measured using an ICP-MS analyzer (inductively-coupled plasma mass spectrometer) ("Agilent7500cs model" manufactured by Agilent Technologies). (6) Calculation of Amount of Metal Ions Eluted from Wafer Surface into Cleaner - The amounts of the respective eluted metal ions were calculated using Equation (3) below.

Equation (3)

r r , , , 2 s Metal ro G\ G3

Amount of eluted metal ions (ng/ cm ) = (3) x S Si02

Metal con : The concentration of metal ions (ppb (ng/g) in the measured sample solution determined by the ICP-MS analysis

Gl : The liquid volume (g) of the cleaner for copper wire semiconductors in which the test piece has been immersed

G2: The liquid volume (g) of the cleaner for copper wire semiconductors removed before the pH adjustment

G3 : The liquid volume (g) of the measured sample solution

Ssi02: The area (cm 2 ) of the silicon oxide film in the wafer having the single layer silicon oxide film

(5) Evaluation of Metallic Residue Removability - The metallic residue removability was evaluated from the total amount of the calculated amounts of respective eluted metal ions and the composition which exhibited high metal ion elution per unit area of the wafer was determined as having excellent metallic residue removability. Specifically, the metallic residue removability was determined based on the following criteria.

A: 15 ng/cm 2 or more

B: from 10 ng/cm 2 to 15 ng/cm 2

C: from 5 ng/cm 2 to 10 ng/cm 2

D: less than 5 ng/cm 2

[0092] As shown in Table 1 , the cleaning compositions according to Examples 1 to 8 in the present invention exhibited preferable results in terms of benzotriazole residue removability, quinaldic acid residue removability and metallic residue removability on the insulating film. On the other hand, all of Comparative Example 1 containing an amine not having a hydroxyl group, Comparative Example 2 containing an aliphatic polyamine not having a tertiary amino group, Comparative Example 3 not containing a polyphenol compound, Comparative Example 4 containing a polyphenol compound having the OH position at the meta position, Comparative Example 5 containing a monophenol compound and Comparative Example 6 containing a polyphenol compound having HLB which does not fall under the present invention exhibited poor quinaldic acid residue removability. In addition, Comparative Example 7 with the pH of 7.0 exhibited insufficient removability for all of the benzotriazole residue, quinaldic acid residue and metallic residue, while Comparative Example 8 containing a large amount of basic compound with the pH of 13.5 exhibited insufficient metallic residue removability.

[0093] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Table 1 : Cleaning compositions described herein and performance evaluation.




 
Previous Patent: ACTIVE COOLING FAN

Next Patent: SKY BALL YO-YO