Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
DEVELOPMENT OF NOVEL NEUTRAL MATS CONTAINING HYDROXYL MONOMERS TO IMPROVE SIARC SUBSTRATE COMPATIBILITY FOR DIRECTED SELF-ASSEMBLY OF DIBLOCK COPOLYMERS
Document Type and Number:
WIPO Patent Application WO/2023/213696
Kind Code:
A1
Abstract:
Described herein is a random polymer having structure (A), comprising, a styrenic repeat unit of structure (I), a 4-vinylbenzocyclobutene derived repeat unit of structure of structure (II), an alkyl acrylate or alkyl 2-methylenealkanoate derived repeat unit of structure (III), a hydroxy substituted acrylate or 2-methylenealkanoate derived repeat unit of structure (IV), and two end groups as shown in structure (A), one of which is H and the other is a methyl moiety substituted with Rr, Rr1 and Rr2. Also described is a composition comprising said random copolymer of structure (A) and an organic spin casting solvent and the process of using said composition in directed self-assembly processing.

Inventors:
NG EDWARD W (US)
BASKARAN DURAIRAJ (US)
JEONG EUNJEONG (US)
Application Number:
PCT/EP2023/061204
Publication Date:
November 09, 2023
Filing Date:
April 28, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MERCK PATENT GMBH (DE)
International Classes:
C08F212/08; C08F212/32; C08F220/14; C09D133/08; C09D133/12; G03F7/00; H01L21/027
Foreign References:
EP3891198A12021-10-13
US20150315402A12015-11-05
Other References:
MACROMOLECULES, vol. 52, 2019, pages 2987 - 2994
MACROMOL. RAPID COMMUN., vol. 39, 2018, pages 1800479
A. DEITER SHLUTER ET AL., SYNTHESIS OF POLYMERS, vol. 1, 2014, pages 315
"Encyclopedia of Polymer Science and Technology", vol. 7, 2014, pages: 625
Attorney, Agent or Firm:
RIPPEL, Hans Christoph (DE)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A random polymer having structure (A), comprising, a styrenic repeat unit of structure (I), wherein Rmi is H or a C-l to C-4 alkyl, Ri is selected from a H, a C-l to C-8 alkyl, and a C-l to C-4 alkyloxy and nl is the total number of this repeat units; wherein this repeat unit ranges from about 10 mole % to about 60 mole %, in said copolymer; a 4-vinylbenzocyclobutene derived repeat unit of structure (II), wherein Rm2 is H or a C-l to C-4 alkyl, R2 is H or a C-l to C-8 alkyl, and n2 is the total number of this repeat units; wherein this repeat unit is from about 15 mole % to about 35 mole %, in said copolymer; an alkyl acrylate or alkyl 2-methylenealkanoate derived repeat unit of structure (III), wherein Rm3 is H or a C-l to C-4 alkyl, R3 is a C-l to C-8 alkyl, n3 is the total number of this repeat units; wherein this repeat unit is from about 15 mole % to about 55 mole % in said copolymer; a hydroxy substituted acrylate or 2-methylenealkanoate derived repeat unit of structure (IV), wherein Rm4 is H or a C-l to C-4 alkyl, Ri is a C-l to C-8 alkyl, Li is a spacer moiety selected from a C-2 to C-l 2 alkylene spacer and a 1,4-phenylene spacer, wherein said alkylene spacer is selected from the group consisting of a C-2 to C-12 linear alkylene, a C-3 to C-12 branched alkylene, a C-3 to C-12 cyclic alkylene and a C-5 to C-12 alicyclic alkylene, where there is at least a two-carbon atoms between the carbonyl oxy moiety and the OH moiety, and these two moieties are individually attached to said alkylene spacer at different positions which are either a primary or secondary carbon on said alkylene moiety, and where said 1 ,4-phenylene spacer is one wherein the carboxyl oxy moiety and the OH moiety are in a 1,4 positions with respect to each other, n4 is the total number of this repeat units; wherein this repeat unit is from about 1 mole % to about 5 mole %, in said copolymer; two end groups as shown in structure (A), one of which is H and the other is a methyl moiety substituted with Rr, Rn and Rn, wherein Rn, is a C-l to C-8 alkyl, R is selected from a C-l to C-8 alkyl, a C-l to C-8 alkylene hydroxy moiety (-alkylene-OH), a C-l to C-8 alkylenecarboxylic acid moiety (-alkylenne-CChH), or a benzylic alcohol comprising moiety of structure (B) wherein ni is an integer ranging from 0 to 5, nia is an integer from 1 to 5, nib is an integer from 1 to 5, and “*” designates the attached point of this moiety, Rr is a cyano moiety (-CN) or a carbonylalkyl moiety (-C(=O)-Ri), wherein Ri is a C-l to C-8 alkyl or an aryl moiety and further, wherein the sum of the individual mole % of repeat units of structure (I), (II), (III), and (IV) is either smaller than or equal to 100 mole % of the total repeat units present in said copolymer:

2. The copolymer of claim 1 , consisting of the repeat units of structures (I), (II), (III) and (IV), where the sum of these repeat units is 100 mole %.

3. The copolymer of claims 1 or 2, wherein Li is said C-2 to C-12 alkylene spacer.

4. The copolymer of claims 1 or 2, wherein Li is said 1 ,4-phenylene moiety spacer.

5. The copolymer of any one of claims 1 to 3, wherein said repeat unit of structure (I) is from about 13 mole% to about 48 mole %, said repeat unit of structure (II) is from about 25 mole % to about 35 mole %, said repeat unit of structure (III)) is from about 15 mole % to about 55 mole %, said repeat unit of structure (IV), is about 1 mole % to about 2 mole %, and Li is said C-2 to C-12 alkylene spacer, and the sum of individual mole % for the repeat units of structures (I), (II), (III) and (IV) equals 100 mole % of the total repeat units in said copolymer.

6. The copolymer of any one of claims 1 to 2 and 4, wherein said repeat unit of structure (I) is from about 23 mole% to about 48 mole %, said repeat unit of structure (II) is from about 25 mole % to about 35 mole %, said repeat unit of structure (III)) is from about 15 mole % to about 45 mole %, said repeat unit of structure (TV), is from about 2 to about 5 mole %, and Li is a 1 ,4-phenylene spacer, and further where the sum of individual mole % for the repeat units of structures (I), (II), (III) and (IV) equals 100 mole % of the total repeat units in said copolymer.

7. The copolymer of any one of claims 1 to 6, wherein in the repeat unit of structure (IV), Li is said alkylene spacer and is selected from either structure (IVal), structure (T a2), structure (IVa3), structure (T a4) or structure (TVa5), wherein x is an integer from 1 to 11 , xl is an integer from 1 to 8, x2 is an integer from 1 to 8, x3 is an integer from 1 to 2, x3a is an integer from 1 to 3,

Rxi is a C-l to C-4 alkyl, Rx2 is a C-l to C-4 alkyl, and in the repeat unit of structure (IVa5) only one of substituents RX4, or Rxs, is OH, wherein further the remaining other substituents in the repeat unit of structure (IVa5) is H,

8. The copolymer of claim 7, wherein said repeat unit of structure (IV) has structures (IVal).

9. The copolymer of claim 7, wherein said repeat unit of structure (IV) has structure (IVa2).

10. The copolymer of claim 7, wherein said repeat unit of structure (IV) has structure (IVa3).

11. The copolymer of claim 7, wherein said repeat unit of structure (IV) has structure (IVa4).

12. The copolymer of claim 7 or 11, wherein said repeat unit of structure (IV) has structure (IVa41),

13. The copolymer of claim 7 or 11, wherein said repeat unit of structure (IV) has structure

(IVa42),

(IVa42)

14. The copolymer of claim 7 or 11, wherein said repeat unit of structure (IV) has structure (IVa43),

15. The copolymer of claim 7, wherein said repeat unit of structure (IV) has structure (IVa5).

16. The copolymer of claim 14, wherein said repeat unit of structure (TV) has structure (IVa51), or structure (TVa52),

17. The copolymer of any one of claims 1 to 5, 7 and 8, wherein the repeat unit of structure (IV), has structure (IVa),

18. The copolymer of any one of claims 1 to 4, and 6, wherein the repeat unit of structure (IV), has structure (IVb),

19. The copolymer of any one of claims 1 to 18, wherein Rmi, and Rm2 are H.

20. The copolymer of any one of claims 1 to 19, wherein Rm3 is H.

21. The copolymer of any one of claims 1 to 20, wherein Rm4 is H.

22. The copolymer of any one of claims 1 to 19, and 21, wherein Rm3 is a C-l to C-4 alkyl. 1 to 20, and 22, wherein Rm4 is a C-l to C-4 alkyl. 1 to 19, 21, and 23 wherein Rm3 is methyl. 1 to 20, 22 to 24, wherein Rm4 is methyl. 1 to 25, wherein Ri is a C-l to C-4 alkyl. 1 to 25, wherein Ri is H. the repeat unit of structure (I), is has structure (la), 1 to 28, wherein R2 is a C-l to C-4 alkyl. 1 to 29, wherein R2 is H. the repeat unit of structure (II) has structure (Ila), 1 to 31, wherein R3 is a C-l to C-4 alkyl. 1 to 32, wherein R3 is methyl. the repeat unit of structure (III), has structure (Illa),

35. The copolymer of any one of claims 1 to 5, 7, and 28 to 34, wherein the repeat unit of structure (IV), has structure (IVc),

36. The copolymer of any one of claims 1 to 4, 6, and 28 to 34, and wherein the repeat unit of structure (IV), has structure (IVd),

37. The copolymer of any one of claims 1 to 5, 7, and 28 to 34, wherein said copolymer has structure (A-l),

38. The copolymer of claim 37, wherein Rn is methyl.

39. The copolymer of claims 37 or 38, wherein Ro is a C-l to C-8 alkyl.

40. The copolymer of claims 37 or 38, wherein Rn is a C-l to C-8 alkylene hydroxy moiety.

41. The copolymer of claims 37 or 38, wherein Rn is a C-l to C-8 alkylenecarboxylic acid.

42. The copolymer of claims 37 or 38, wherein Rn is a benzylic alcohol comprising moiety of structure (B).

43. The copolymer of claim 42, wherein structure (B) has structure (B-l),

44. The copolymer of any one of claims 37 to 43, wherein Rr is a cyano moiety (-CN).

45. The copolymer of any one of claims 37 to 43, wherein Rr is a carbonylalkyl moiety (-

C(=O)-Ri), wherein Ri is a C-l to C-8 alkyl or an aryl moiety.

46. The copolymer of any one of claims 1 to 4, 6, 28 to 34, and 36, wherein said copolymer has structure (A-2),

47. The copolymer of claim 46, wherein Rri is methyl.

48. The copolymer of claims 46 or 47, wherein Rn is a C-l to C-8 alkyl.

49. The copolymer of claims 46 or 47, wherein Rn is a C-l to C-8 alkylene hydroxy moiety.

50. The copolymer of claims 46 or 47, wherein Rn is a C-l to C-8 alkylenecarboxylic acid.

51. The copolymer of claims 46 or 47, wherein Rn is a benzylic alcohol comprising moiety of structure (B).

52. The copolymer of claim 51, wherein structure (B) has structure (B-l),

53. The copolymer of any one of claims 46 to 52, wherein Rr is a cyano moiety (-CN).

54. The copolymer of any one of claims 46 to 52, wherein Rr is a carbonylalkyl moiety (- C(=O)-Ri), wherein Ri is a C-l to C-8 alkyl or an aryl moiety.

55. A composition comprising a copolymer of any one of claims 1 to 54 and an organic spin casting solvent.

56. The composition of claim 55, wherein in said copolymer Li is said alkylene spacer.

57. The composition of claim 55, wherein in said copolymer Li is said alkylene and further where Rn is said C-l to C-8 alkyl.

58. The composition of claim 55, wherein in said copolymer Li is said alkylene and further where Rn is selected from a C-l to C-8 alkylene hydroxy moiety (-alkylene-OH), a C-l -C-8 alkylenecarboxylic acid moiety (-alkylenne-CChH), and a benzylic alcohol comprising moiety of structure (B).

59. The composition of claim 55, wherein in said copolymer Li is said 1 ,4-phenylene spacer.

60. The composition of claim 55, wherein in said copolymer Li is said 1,4-phenylene spacer and further where Rn is said C-l to C-8 alkyl.

61. The composition of claim 55, wherein in said copolymer Li is said 1,4-phenylene spacer and further where Rn is selected from a C-l to C-8 alkylene hydroxy moiety (-alkylene-OH), a C- 1 -C-8 alkylenecarboxylic acid moiety (-alkylenne-CO2H), and a benzylic alcohol comprising moiety of structure (B).

62. A process for forming a crosslinked or grafted and cross-linked coating of a copolymer on a substrate comprising the steps: i) forming a coating of a composition of any one of claims 55 to 61 on a substrate, ii) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a crosslinked or a grafted and crosslinked coating of the copolymer, iii) heating the crosslinked or a grafted and crosslinked coating of step ii) from about 200°C to about 250°C to form a fully cross-linked or fully crosslinked and grafted copolymer coating.

63. A process for forming a cross-linked or grafted and crosslinked neutral coating on a substrate comprising the steps: ia) forming a coating of a composition of any of claims 55 to 61 on a substrate, iia) heating the coating at a temperature from about 90°C to aboutl80°C to remove solvent and to form a crosslinked or a grafted and crosslinked coating, iiia) heating the crosslinked coating of step iid) at temperature from about 200°C to about 250°C to form a fully crosslinked neutral coating.

64. A process for forming a crosslinked neutral coating on a substrate comprising the steps: ib) forming a coating of a composition of claims 57 or 60 on a substrate, iib) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a crosslinked or a grafted and crosslinked coating, iiib) heating crosslinked or a grafted and crosslinked coating of step iif) at a temperature from about 200°C to about 250°C to form a fully crosslinked neutral coating.

65. A process for forming a grafted and crosslinked neutral coating on a substrate comprising the steps: ic) forming a coating of a composition of claims 58 or 61 on a substrate, iic) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a grafted and crosslinked coating, iiic) heating the crosslinked coating of step iic) at a temperature from about 200°C to about 250°C to form a fully grafted and crosslinked neutral coating.

66. A process for forming a self-assembled block copolymer coating on a neutral coating comprising the steps: id) forming neutral coating according to any one of claims 62 to 65, iid) applying a block copolymer over the neutral coating and annealing until directed self-assembly of the block copolymer coating occurs.

67. A process of graphoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: ie) forming neutral coating according to any one of claims 62 to 65, iie) providing a coating of a photoresist coating over the neutral coating, and forming a pattern in the photoresist coating, iiie) applying a block copolymer comprising an etch resistant block and a highly etchable block over the photoresist pattern and annealing until directed self-assembly occurs; and, ive) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

68. The process of claim 67 where the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion, 193 nm dry, 13.5 nm, 248 nm, 365 nm and 436 nm lithographies.

69. A process of chemoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: if) forming neutral coating on a substrate according to any one of claims 62 to 65, iif) providing a coating of a photoresist coating over the neutral coating, and forming a pattern in the photoresist coating, thereby forming regions in which the neutral coating is uncovered by the resist, iiif) treating the uncovered neutral coating to remove it, forming a pinning area, ivf) removing the photoresist, uncovering the unaffected neutral coating forming a chemoepitaxy pattern containing neutral and pinning areas, vf) applying a block copolymer comprising an etch resistant block and a highly etchable block over the neutral coating and annealing until directed self-assembly occurs; and vif) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

70. The process of claim 69 where the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion, 193 nm dry, 13.5 nm, 248 nm, 365 nm and 436 nm lithographies.

71. The use of the random polymer of any one of claims 1 to 54 or of the composition of any one of claims 55 to 61 for forming a coating on a substrate.

Description:
DEVELOPMENT OF NOVEL NEUTRAL MATS CONTAINING HYDROXYL

MONOMERS TO IMPROVE SIARC SUBSTRATE COMPATIBILITY FOR DIRECTED SELF-ASSEMBLY OF DIBLOCK COPOLYMERS

FIELD OF THE INVENTION

[0001] The invention relates to neutral layer mat compositions for use in directed self-assembly processing.

BACKGROUND

[0002] Self-assembly of block copolymers is a method useful for generating smaller and smaller patterned features for the manufacture of microelectronic devices in which the critical dimensions (CD) of features on the order of nanoscale can be achieved. Self-assembly methods are desirable for extending the resolution capabilities of microlithographic technology for repeating features such as an array of contact holes or posts. In a conventional lithography approach, ultraviolet (UV) radiation may be used to expose through a mask onto a photoresist layer coated on a substrate or layered substrate. Positive or negative photoresists are useful, and these can also contain a refractory element such as silicon to enable dry development with conventional integrated circuit (IC) plasma processing. In a positive photoresist, UV radiation transmitted through a mask causes a photochemical reaction in the photoresist such that the exposed regions are removed with a developer solution or by conventional IC plasma processing. Conversely, in negative photoresists, UV radiation transmitted through a mask causes the regions exposed to radiation to become less removable with a developer solution or by conventional IC plasma processing. An integrated circuit feature, such as a gate, via or interconnect, is then etched into the substrate or layered substrate, and the remaining photoresist is removed. When using conventional lithographic exposure processes, the dimensions of features of the integrated circuit feature are limited. Further reduction in pattern dimensions is difficult to achieve with radiation exposure due to limitations related to aberrations, focus, proximity effects, minimum achievable exposure wavelengths and maximum achievable numerical apertures. The need for large-scale integration has led to a continued shrinking of the circuit dimensions and features in the devices. In the past, the final resolution of the features has been dependent upon the wavelength of light used to expose the photoresist, which has its own limitations. Directed (a.k.a. guided) self-assembly techniques, such as graphoepitaxy and chemoepitaxy using block copolymer imaging, which employ a patterned area on a substrate, are highly desirable techniques used to enhance resolution while reducing CD variation. These techniques can be employed to either enhance conventional UV lithographic techniques or to enable even higher resolution and CD control in approaches employing EUV, e- beam, deep UV or immersion lithography. The directed self-assembly block copolymer comprises a block of etch resistant copolymeric unit and a block of highly etchable copolymeric unit, which when coated, aligned, and etched on a substrate give regions of very high-density patterns.

[0003] For directed (guided), or unguided self-assembly, of a block copolymer fdm, respectively, on a patterned or non-pattemed substrate area, typically the self-assembly process of this block polymer layer occurs during annealing of this film overlying a neutral layer. This neutral layer over a semiconductor substrate may be an unpatterned neutral layer, or in chemoepitaxy or graphoepitaxy, this neutral layer may contain, respectively, graphoepitaxy or chemoepitaxy guiding features (formed through the above-described UV lithographic technique). During annealing of the block copolymer film, the underlying, neutral layer, directs the nano-phase separation of the block copolymer domains. One example is the formation phase separated domains which are lamellas or cylinders perpendicular to the underlying neutral layer surface. These nanophase separated block copolymer domains form a pre-pattern (e.g., line and space L/S) which may be transferred into the substrate through an etching process (e.g., plasma etching). In graphoepitaxy, or in chemoepitaxy, these guiding features may dictate both pattern rectification and pattern multiplication. In the case of an unpatterned neutral layer this produces a repeating array of for instance L/S or CH. For example, in a conventional block copolymer such as poly(styrene-b-m ethyl methacrylate (P(S-b- MMA)), in which both blocks have similar surface energies at the BCP-air interface, this can be achieved by coating and thermally annealing the block copolymer on a layer of non-preferential or neutral material that is grafted or cross-linked at the polymer-substrate interface.

[0004] In the graphoepitaxy directed self-assembly method, the block copolymers self organizes around a substrate that is pre-pattemed with conventional lithography (Ultraviolet, Deep UV, e- beam, Extreme UV (EUV) exposure source) to form repeating topographical features such as a line/space (L/S) or contact hole (CH) pattern. In an example of a L/S directed self-assembly array, the block copolymer can form self-aligned lamellar regions which can form parallel line-space patterns of different pitches in the trenches between pre-patterned lines, thus enhancing pattern resolution by subdividing the space in the trench between the topographical lines into finer patterns. For example, a diblock copolymer or a triblock copolymer which is capable of microphase separation and comprises a block rich in carbon (such as styrene or containing some other element like Si, Ge, Ti) which is resistant to plasma etch, and a block which is highly plasma etchable or removable, can provide a high-resolution pattern definition. Examples of highly etchable blocks can comprise monomers which are rich in oxygen, and which do not contain refractory elements and are capable of forming blocks which are highly etchable, such as methyl methacrylate. The plasma etching gases used in the etching process of defining the self-assembly pattern typically are those used in processes employed to make integrated circuits (IC). In this manner, very fine patterns can be created in typical IC substrates than were definable by conventional lithographic techniques, thus achieving pattern multiplication. Similarly, features such as contact holes can be made denser by using graphoepitaxy in which a suitable block copolymer arranges itself by directed selfassembly around an array of contact holes or posts defined by conventional lithography, thus forming a denser array of regions of etchable and etch resistant domains which when etched give rise to a denser array of contact holes. Consequently, graphoepitaxy has the potential to offer both pattern rectification and pattern multiplication.

[0005] In chemical epitaxy, or pinning chemical epitaxy, the self-assembly of the block copolymer is formed on a surface whose guiding features are regions of differing chemical affinity, having no, or insignificant topography (a.k.a. non-guiding topography) which predicates the directed selfassembly process. For example, the surface of a substrate could be patterned with conventional lithography (UV, Deep UV, e-beam EUV) to create surfaces of different chemical affinity in a line and space (L/S) pattern in which exposed areas whose surface chemistry had been modified by irradiation alternate with areas which are unexposed and show no chemical change. These areas present no topographical difference but do present a surface chemical difference or pinning to direct self-assembly of block copolymer segments. Specifically, the directed self-assembly of a block copolymer whose block segments contain etch resistant (such as styrene repeat unit) and rapidly etching repeat units (such as methyl methacrylate repeat units) would allow precise placement of etch resistant block segments and highly etchable block segments over the pattern. This technique allows for the precise placement of these block copolymers and the subsequent pattern transfer of the pattern into a substrate after plasma or wet etch processing. Chemical epitaxy has the advantage that it can be fine-tuned by changes in the chemical differences to help improve line-edge roughness and CD control, thus allowing for pattern rectification. Other types of patterns such as repeating contact holes (CH) arrays could also be pattern rectified using chemoepitaxy. [0006] These neutral layers are layers on a substrate or the surface of a treated substrate which have no affinity for either of the block segment of a block copolymer employed in directed self-assembly. In the graphoepitaxy method of directed self-assembly (DSA) of block copolymer, neutral layers are useful as they allow the proper placement or orientation of block polymer segments for directed self-assembly which leads to proper placement of etch resistant block polymer segments and highly etchable block polymer segments relative to the substrate. For instance, in surfaces containing line and space features which have been defined by conventional radiation lithography, a neutral layer allows block segments to be oriented so that the block segments are oriented perpendicular to the surface of the substrates, an orientation which is ideal for both pattern rectification and pattern multiplication depending on the length of the block segments in the block copolymer as related to the length between the lines defined by conventional lithography. If a substrate interacts too strongly with one of the block segments it would cause it to lie flat on that surface to maximize the surface of contact between the segment and the substrate; such a surface would perturb the desirable perpendicular alignment which can be used to either achieve pattern rectification or pattern multiplication based on features created through conventional lithography. Modification of selected small areas or pinning of substrate to make them strongly interactive with one block of the block copolymer and leaving the remainder of the surface coated with the neutral layer can be useful for forcing the alignment of the domains of the block copolymer in a desired direction, and this is the basis for the pinned chemoepitaxy or graphoepitaxy employed for pattern multiplication. The pinning area may be one which is hydrophilic having a greater affinity for example to polar block copolymer segments such as the polymethyl methacrylate block segment in a block copolymer of styrene and methyl methacrylate or alternatively be a pinning area which may be hydrophobic having a greater affinity for example to the polystyrene block segments in a block copolymer of styrene and methyl methacrylate.

[0007] Directed self-assembly using PS-6-PMMA type of block copolymer requires a neutral underlayer for chemoepitaxy growth of line and space pattern which can work on a number of different types of substrates. Cross-linkable terpolymer such as P(S-r-VBCB-r-MMA) (i.e., poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate), have been used as crosslinking neutral mat layer composition in DSA processes. This terpolymer works efficiently as a neutral layer on oxide and nitride substrates such as SiNyOx (silicon oxy nitride) and SiN (silicon nitride), but as a result of its hydrophobicity, this terpolymer causes severe de-wetting defects on SiARC (Silicon antireflective coating) substrate, leading to defects in subsequent DSA processes. Therefore, there is a necessity to develop a new crosslinkable neutral mat material suitable specifically for SiARC substrate.

DETAILED DESCRIPTION OF DRAWINGS

[0008] FIG. 1: P(S-r-VBCB-r-MMA-r-HEMA)-OH - Representative NMR Example 1 [0009] FIG. 2: P(S-r-VBCB-r-MMA-/--/ , AT4>- H - Representative NMR Example 5 [0010] FIG. 3: Defectivity of Neutral Layer Coatings derived from P(S-r-VBCB-r-MMA-r- HEMA)-0H and P(S-r-VBCB-r-MMA-r-PQMA)-OH compared to Reference material [0011] FIG. 4: Evaluation of SEM images of Neutral Layer Coatings on SiARC

[0012] FIG. 5: Comparison of defects in Self- Assembled Structures Examples 1 and 5 compared to Comparative Example 1 on ISX-302

[0013] FIG. 6 : Evaluation of SEM images of Self- Assembled Structures

[0014] FIG. 7: Comparison of defects in Self- Assembled Structures Examples 1 and 5 compared to Comparative Example 1 on ISX-304

SUMMARY OF THE INVENTION

[0015] One specific aspect of this invention is an improved version of crosslinkable neutral tetrapolymers and pentapolymers which are compatible with SiARC as well as SiOx, SiNyOx and SiN substates. In one aspect, the new tetrapolymers consists of styrene (S), 4- vinylbenzocyclobutene (VBCB), methyl methacrylate (MMA), and either 2-hydroxyethyl methacrylate (HEMA) or 4-hydoxyphenyl methacrylate (PQMA) initiated by either 4,4'-azobis(4- cyanopentanol) (AIBN-OH) or 4,4'-Azobis(4-cyanovaleric acid) (AIBN-COOH). The S and MMA components give the polymer the necessary neutrality. The VBCB component allows the polymer to crosslink into an insoluble film when baked. The HEMA or PQMA components make the polymer more compatible with the SiARC substrate. The hydroxyl-or carboxylic acid- terminated end group from the initiator grafts the polymer onto the substrate and holds the polymer as the high temperature bake crosslinks the polymer.

[0016] In a broader aspect this invention describes a random polymer having structure (A), comprising;

• a styrenic repeat unit of structure (I), wherein R mi is H or a C-l to C-4 alkyl, Ri is selected from a H, a C-l to C-8 alkyl, and a C-l to C-4 alkyloxy and nl is the total number of this repeat units; wherein this repeat unit ranges from about 10 mole % to about 60 mole %, in said copolymer;

• a 4-vinylbenzocyclobutene derived repeat unit of structure (II), wherein Rm2 is H or a C-l to C-4 alkyl, R2 is H or a C-l to C-8 alkyl, and n2 is the total number of this repeat units; wherein this repeat unit is from about 15 mole % to about 35 mole %, in said copolymer;

• an alkyl acrylate or alkyl 2-methylenealkanoate derived repeat unit of structure (III), wherein R m 3 is H or a C-l to C-4 alkyl, R3 is a C-l to C-8 alkyl, and n3 is the total number of this repeat units; wherein this repeat unit is from about 15 mole % to about 55 mole % in said copolymer;

• a hydroxy substituted acrylate or 2-methylenealkanoate derived repeat unit of structure (IV), present from about 1 mole % to above 5 mole %, wherein R m 4 is H or a C-l to C-4 alkyl, Ri is a C-l to C-8 alkyl, Li is a spacer moiety selected from a C-2 to C-l 2 alkylene spacer and a 1 ,4-phenylene spacer, wherein said alkylene spacer is selected from the group consisting of a C-2 to C-l 2 linear alkylene, a C-3 to C-l 2 branched alkylene, a C-3 to C-l 2 cyclic alkylene and a C-5 to C-l 2 alicyclic alkylene, where there are at least a two-carbon atoms between the carbonyl oxy moiety and the OH moiety, and these two moieties are individually attached to said alkylene spacer at different positions which are either a primary or secondary carbon on said alkylene moiety, and where said 1 ,4-phenylene spacer is one wherein the carboxyl oxy moiety and the OH moiety are in 1 ,4 positions with respect to each other, and n4 is the total number of this repeat units;

• two end groups as shown in structure (A), one of which is H and the other is a methyl moiety substituted with Rr, Rn and Rn, wherein Rn, is a C-l to C-8 alkyl, R is selected from a C-l to C-8 alkyl, a C-l to C-8 alkylene hydroxy moiety (-alkylene-OH), a C-l to C-8 alkylenecarboxylic acid moiety (-alkylenne-CO2H), or a benzylic alcohol comprising moiety of structure (B) wherein ni is an integer ranging from 0 to 5, nia is an integer from 1 to 5, nib is an integer from 1 to 5, and “*” designates the attached point of this moiety, Rr is a cyano moiety (-CN) or a carbonylalkyl moiety (-C(=O)-Ri), wherein Ri is a C-l to C-8 alkyl or an aryl moiety; and further wherein the sum of the individual mole % of repeat units of structure (I), (II), (III), and (IV) is either smaller than or equal to 100 mole % of the total repeat units present in said copolymer.

[0017] Another aspect of this invention are compositions which comprise one of these polymers and an organic spin coating solvent

[0018] Another aspect of this invention is the process of coating these compositions and thermally producing a crosslinked neutral MAT layer and using this layer in lithographic DS A processing. The MAT layer in the context of the present invention is a crosslinked layer which is insoluble to any layer coated on top of it, which can be used as a DSA neutral or pinning layer.

DETAILED DESCRIPTION OF THE INVENTION

[0019] It is to be understood that both the foregoing general description and the following detailed description are illustrative and explanatory, and are not restrictive of the subject matter, as claimed. In this application, the use of the singular includes the plural, the word "a" or "an" means "at least one", and the use of "or" means "and/or", unless specifically stated otherwise. Furthermore, the use of the term "including," as well as other forms such as "includes" and "included", is not limiting. Also, terms such as "element" or "component" encompass both elements and components comprising one unit and elements or components that comprise more than one unit, unless specifically stated otherwise. As used herein, the conjunction "and" is intended to be inclusive and the conjunction "or" is not intended to be exclusive unless otherwise indicated. For example, the phrase "or, alternatively" is intended to be exclusive. As used herein, the term "and/or" refers to any combination of the foregoing elements including using a single element.

[0020] The term “C-l to C-4 alkyl” embodies methyl and C-2 to C-4 linear alkyls and C-3 to C-4 branched alkyl moieties, for example as follows: methyl(-CH3), ethyl (-CH2-CH3), n-propyl (-CH2- CH2-CH3), isopropyl (-CH(CH3)2, n-butyl (-CH2-CH2-CH2-CH3), tert-butyl (-C(CH3)3), isobutyl (CH 2 -CH(CH 3 )2, 2-butyl (-CH(CH3)CH2-CH3). Similarly, the term C-l to C-12 embodies methyl C-2 to C-12 linear, C-3 to C-12 branched alkyls, C-4 to C-12 cycloalkyls (e.g., cyclopentyl, cyclohexyl etc) or C-5-C-12 alkylenecycloalkyls (e.g., -CH2-cyclohexyl, CH2-CH2-cyclopentyl etc.) [0021] The term “C-2 to C-12 alkylene” embodies C-2 to C-12 linear alkylene moieties (e.g. ethylene, propylene etc.), C-2 to C-12 branched alkylene moieties (e.g., -CH(CH3)-, -CH(CH3)-CH2-, etc.), C-5 to C-12 cyclic alkylene moieties (e.g. 1 ,4-cyclohexyl, 1, 3-cyclopentyl etc.), and C-5 to C-12 alicyclic (.e.g. 2,6 adamantane-2,4 di-yl, adamantane-2,6- di-yl).

[0022] Di-block and triblock copolymers of styrenic and alkyl 2-methylenealkanoate derived repeat unit moieties useful as components in the inventive compositions described herein may be made by a variety of methods, such as anionic polymerization, atom transfer radical polymerization (ATRP), Reversible addition-fragmentation chain transfer (RAFT) polymerization, living radical polymerization and the like (Macromolecules 2019, 52, 2987-2994; Macromol. Rapid Commun. 2018, 39, 1800479; A. Deiter Shluter et al Synthesis of Polymers, 2014, Volume 1, p315; Encyclopedia of Polymer Science and Technology, 2014, Vol 7, p 625.).

[0023] The random copolymer poly(styrene-co-methyl methacrylate) is abbreviated as “P(S-co- MMA),” and the oligomeric version of this materials is abbreviated oligo(S-co-MMA). Similarly, the block copolymer poly(styrene-block-methyl methacrylate) is abbreviated as P(S-b-MMA), while the oligomer of this material is abbreviated as oligo(S-b-MMA). The oligomer oligo(styrene- co-p-octylstyrene)-block-(methyl methacrylate-co- di(ethylene glycol) methyl ether methacrylate) uses the same abbreviations to designate random an block copolymer elements, specifically oligo(S-co-p-OS)-b-P(MMA-co-DEGMEMA), in which S=styrene, p-OS=para-octylstyrene, MMA=methyl methacrylate, DEGMEMA= di(ethylene glycol) methyl ether methacrylate designate the repeat units in this block copolymer whose two blocks are random copolymers.

[0024] FOV is the abbreviation for “field of view” for top-down scanning electron micrographs (SEM) for the SEM FIGs. in this application. “L/S” is an abbreviation for “line and space” lithographic features.

[0025] PGMEA and PGME are respectively abbreviations for 1 -methoxypropan-2-yl acetate and 1 -methoxypropan-2-ol. [0026] The section headings used herein are for organizational purposes and are not to be construed as limiting the subject matter described. All documents, or portions of documents, cited in this application, including, but not limited to, patents, patent applications, articles, books, and treatises, are hereby expressly incorporated herein by reference in their entirety for any purpose. In the event that one or more of the incorporated literature references and similar materials defines a term in a manner that contradicts the definition of that term in this application, this application controls.

[0027] Unless otherwise indicated, “alkyl” refers to hydrocarbon groups which can be linear, branched (e.g. methyl, ethyl, propyl, isopropyl, tert-butyl and the like) or cyclic (e.g. cyclohexyl, cyclopropyl, cyclopentyl and the like) multicyclic (e.g. norbomyl, adamantyl and the like). These alkyl moieties may be substituted or unsubstituted as described below. The term “alkyl” refers to such moieties with e.g. C-l to C-8 carbons. It is understood that for structural reasons linear alkyls start with C-l, while branched alkyls and linear start with C-3 and multicyclic alkyls start with C-5. Moreover, it is further understood that moieties derived from alkyls described below, such as alkyloxy and perfluoroalkyl, have the same carbon number ranges unless otherwise indicated. If the length of the alkyl group is specified as other than described above, the above-described definition of alkyl still stands with respect to it encompassing all types of alkyl moieties as described above and that the structural consideration with regards to minimum number of carbons for a given type of alkyl group still apply.

[0028] Alkyloxy (a.k.a. Alkoxy) refers to an alkyl group which is attached through an oxy (-O-) moiety (e.g., methoxy, ethoxy, propoxy, butoxy, 1 ,2-isopropoxy, cyclopentyloxy cyclohexyloxy and the like). These alkyloxy moieties may be substituted or unsubstituted as described below.

[0029] Halo or halide refers to a halogen, F, Cl, Br or I which is linked by one bond to an organic moiety.

[0030] As used herein the term lactone encompasses both mono-lactones (e.g., caprolactone) and di-lactones (e.g., lactide).

[0031] Haloalky 1 refers to a linear, cyclic or branched saturated alkyl group such as defined above in which at least one of the hydrogens has been replaced by a halide selected from the group of F, Cl, Br, I or mixture of these if more than one halo moiety is present. Fluoroalkyls are a specific subgroup of these moieties. [0032] Perfluoroalkyl refers to a linear, cyclic or branched saturated alkyl group as defined above in which the hydrogens have all been replaced by fluorine (e.g., trifluoromethyl, perfluoroethyl, perfluoroisopropyl, perfluorocyclohexyl and the like).

Copolymer of structure (A)

[0033] This invention describes a random polymer having structure (A), comprising;

• a styrenic repeat unit of structure (I), wherein R mi is H or a C-l to C-4 alkyl, Ri is selected from a H, a C-l to C-8 alkyl, and a C-l to C-4 alkyloxy and nl is the total number of this repeat units; wherein this repeat unit ranges from about 10 mole % to about 60 mole %, in said copolymer;

• a 4-vinylbenzocyclobutene derived repeat unit of structure of structure (II), wherein R m 2 is H or a C-l to C-4 alkyl, R2 is H or a C-l to C-8 alkyl, and n2 is the total number of this repeat units; wherein this repeat unit is from about 15 mole % to about 35 mole %, in said copolymer;

• an alkyl acrylate or alkyl 2-methylenealkanoate derived repeat unit of structure (III), wherein R m 3 is H or a C-l to C-4 alkyl, R3 is a C-l to C-8 alkyl, and n3 is the total number of this repeat units; wherein this repeat unit is from about 15 mole % to about 55 mole % in said copolymer;

• a hydroxy substituted acrylate or 2-methylenealkanoate derived repeat unit of structure (IV), and n4 is the total number of this repeat units; wherein R m 4 is H or a C-l to C-4 alkyl, Ri is a C-l to C-8 alkyl, Li is a spacer moiety selected from a C-2 to C-12 alkylene spacer and a 1,4-phenylene spacer, wherein said alkylene spacer is selected from the group consisting of a C-2 to C-12 linear alkylene, a C-3 to C-12 branched alkylene, a C-3 to C-12 cyclic alkylene and a C-5 to C-12 alicyclic alkylene, where there is at least a two-carbon atoms between the carbonyl oxy moiety and the OH moiety, and these two moieties are individually attached to said alkylene spacer at different positions which are either a primary or secondary carbon on said alkylene moiety, and where said 1 ,4-phenylene spacer is one wherein the carboxyl oxy moiety and the OH moiety are in 1 ,4 positions with respect to each other, and wherein this repeat unit is from about 1 mole % to about 5 mole %;

• two end groups as shown in structure (A), one of which is H and the other is a methyl moiety substituted with Rr, Rn and Rn, wherein Rn, is a C-l to C-8 alkyl, R is selected from a C-l to C-8 alkyl, a C-l to C-8 alkylene hydroxy moiety (-alkylene-OH), a C-l to C-8 alkylenecarboxylic acid moiety (-alkylenne-CChH), or a benzylic alcohol comprising moiety of structure (B) wherein ni is an integer ranging from 0 to 5, nia is an integer from 1 to 5, nib is an integer from 1 to 5, and “*” designates the attached point of this moiety, Rr is a cyano moiety (-CN) or a carbonylalkyl moiety (-C(=O)-Ri), wherein Ri is a C-l to C-8 alkyl or an aryl moiety; and further wherein the sum of the individual mole % of repeat units of structure (I), (II), (III), and (IV) is either smaller than or equal to 100 mole % of the total repeat units present in said copolymer.

[0034] In one aspect of the copolymers of structure (A), described herein, it is a copolymer whose repeat units consists of the repeat units of structures (I), (II), (III) and (IV), where the sum of these repeat units is equal to 100 mole % of the repeat units in this copolymer.

[0035] In another aspect of the copolymers of structure (A), described herein, Li is said C-2 to C- 12 alkylene spacer.

[0036] In another aspect of the copolymers of structure (A), described herein, Li is a 1 ,4-phenylene moiety spacer.

[0037] In one aspect of the copolymer of structure (A), described herein, where Li is said C-2 to C-12 alkylene spacer, it has a composition where the repeat unit of structure (I) is from about 13 mole% to about 48 mole %, the repeat unit of structure (II) is from about 25 mole % to about 35 mole %, the repeat unit of structure (III)) is from about 15 mole % to about 55 mole %, the repeat unit of structure (IV), is about 1 mole % to about 2 mole %, and the sum of individual mole % for the repeat units of structures (I), (II), (III) and (IV) equals 100 mole % of the total repeat units in said copolymer.

[0038] In one aspect of the copolymer of structure (A), described herein, the repeat unit of structure (IV), Li is said alkylene spacer this repeat unit is selected from ones having either structure (IVal), structure (IVa2), structure (IVa3), structure (IVa4) or structure (TVa5), wherein x is an integer from 1 to 11, xl is an integer from 1 to 8, x2 is an integer from 1 to 8, x3 is an integer from 1 to 2, x3a is an integer from 1 to 3, R xi is a C-l to C-4 alkyl, R X 2 is a C-l to C-4 alkyl, and in the repeat unit of structure (IVa5) only one of substituents R X 4, or R x2 , is OH, wherein further the remaining other substituents in the repeat unit of structure (IVa5) is H. In another aspect of this embodiment the repeat unit of structure (IV) has structure (IVal). In another aspect of this embodiment, the repeat unit of structure (IV) has structure (IVa2). In another aspect of this embodiment, the repeat unit of structure (IV) has structure has structure (IVa3). In another aspect of this embodiment, the repeat unit of structure (IV) has structure (IVa4). In another aspect of this embodiment, the repeat unit of structure (IV) has structure has structure (IVa5). In another aspect of this embodiment, the repeat unit of structure (IV) has structure (IVa41). In another aspect of this embodiment, it more specifically has structure (IVa41). In another aspect of this embodiment, it has structure (IVa42). In another aspect of this embodiment, is has structure (IVa43). In another aspect of this embodiment, it has structure (IVa51). In another aspect of this embodiment, is has structure (IVa52).

[0039] In one aspect of the repeat unit of structure (IVal) described above x is an integer from 2 to 11 ; in another aspect x is an integer from 3 to 11 ; in another aspect x is an integer from 4 to 11 ; in another aspect x is an integer from 5 to 11 , in another aspect x is an integer from 6 to 11 ; in another aspect x is an integer from 7 to 11 ; in another aspect x is an integer from 8 to 11 ; in another aspect x is an integer from 9 to 11 ; in another aspect x is an integer from 10 to 11 ; in another aspect x is 11; in another aspect x is 10; in another aspect x is 9; in another aspect x is 8; in another aspect x is 7; in another aspect x is 6; in another aspect x is 5; in another aspect x is 4; in another aspect x is 3; in another aspect x is 2. In another aspect of these embodiments R m 4 is methyl.

[0040] In one aspect of the copolymers of structure (A), described herein, Li is a 1 ,4-phenylene spacer, the repeat unit of structure (I) is from about 23 mole% to about 48 mole %, the repeat unit of structure (II) is from about 25 mole % to about 35 mole %, the repeat unit of structure (III)) is from about 15 mole % to about 45 mole %, the repeat unit of structure (IV), is from about 2 to about 5 mole %, and the sum of individual mole % for the repeat units of structures (I), (II), (III) and (IV) equals 100 mole % of the total repeat units in said copolymer.

[0041] In one aspect of the copolymers of structure (A), described herein, Li is a C-2 to C-12 alkylene spacer, the repeat unit of structure (IV), has structure (IVa).

[0042] In one aspect of the copolymers of structure (A), described herein, Li is a 1 -4-phenylene spacer, the repeat unit of structure (IV), has structure (IVb).

[0043] In one aspect of the copolymers of structure (A), described herein, R m i, and Rm2 are H.

[0044] In one aspect of this embodiment of the copolymers of structure (A), described herein, R m 3 is H.

[0045] In one aspect of this embodiment of the copolymers of structure (A), described herein, R m 4 is H. [0046] In one aspect of this embodiment of the copolymers of structure (A), described herein, R m 3 is a C-l to C-4 alkyl. In one specific aspect of this embodiment R m 3 is methyl.

[0047] In one aspect of this embodiment of the copolymers of structure (A), described herein, R m 4 is a C-l to C-4 alkyl. In one specific aspect of this embodiment R m 4 is methyl.

[0048] In one aspect of this embodiment of the copolymers of structure (A), described herein, Ri is a C-l to C-4 alkyl.

[0049] In one aspect of this embodiment of the copolymers of structure (A), described herein, Ri is H.

[0050] In one aspect of this embodiment of the copolymers of structure (A), described herein, the repeat unit of structure (I), is has structure (la).

[0051] In one aspect of this embodiment of the copolymers of structure (A), described herein R2 is a C-l to C-4 alkyl.

[0052] In one aspect of this embodiment of the copolymers of structure (A), described herein, R2 is H. In another aspect of this embodiment the repeat unit of structure (II) has structure (Ila), [0053] In one aspect of this embodiment of the copolymers of structure (A), described herein, R3 is a C-l to C-4 alkyl.

[0054] In one aspect of this embodiment of the copolymers of structure (A), described herein, R3 is methyl. In another aspect of this embodiment the repeat unit of structure (III), has structure (Illa). [0055] In one aspect of this embodiment of the copolymers of structure (A), described herein, the repeat unit of structure (IV) has structure (IVc).

[0056] In one aspect of this embodiment of the copolymers of structure (A), described herein, the repeat unit of structure (IV), has structure (IVd).

(IVa) (IVb)

(la) (Da) (Illa) (IVc) (IVd)

[0057] In one aspect of this embodiment of the copolymers of structure (A), described herein, it has structure (A-l). In one aspect of this embodiment, Rri is methyl. In another aspect of this embodiment Rn is a C-l to C-8 alkyl. In another aspect of this embodiment Rn is a C-l to C-8 alkylene hydroxy moiety. In another aspect of this embodiment Rn is a C-l to C-8 alkylenecarboxylic acid. In another aspect of this embodiment wherein Rn is a benzylic alcohol comprising moiety of structure (B); in another aspect of this embodiment, it has the more specific structure (B-l). In one aspect of this embodiment Rr is a cyano moiety (-CN). In another aspect of this embodiment Rr is a carbonylalkyl moiety (-C(=O)-Ri), where Ri is a C-l to C-8 alkyl or an aryl moiety. has structure (A-2). In one aspect of this embodiment, Rri is methyl. In another aspect of this embodiment RD is a C-l to C-8 alkyl. In another aspect of this embodiment R is a C-l to C-8 alkylene hydroxy moiety. In another aspect of this embodiment RD is a C-l to C-8 alkylenecarboxylic acid. In another aspect of this embodiment wherein R is a benzylic alcohol comprising moiety of structure (B); in another aspect of this embodiment, it has the more specific structure (B-l). In one aspect of this embodiment Rr is a cyano moiety (-CN). In another aspect of this embodiment Rr is a carbonylalkyl moiety (-C(=O)-Ri), where Ri is a C-l to C-8 alkyl or an aryl moiety.

[0059] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A) and an organic spin casting solvent.

[0060] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A), and an organic spin casting solvent, where Li is a C-2 to C-12 alkylene spacer.

[0061] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A), ), and an organic spin casting solvent, where Li is a C-2 to C-12 alkylene spacer and R is a C-l to C-8 alkyl.

[0062] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A), and an organic spin casting solvent, where Li is a C-2 to C-12 alkylene spacer and RD is either a C-l to C-8 alkylene hydroxy moiety, a C-l to C-8 alkylenecarboxylic acid or a benzylic alcohol comprising moiety of structure (B).

[0063] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A), and an organic spin casting solvent, where Li is a 1 -4-phenylene spacer.

[0064] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A), and an organic spin casting solvent, where Li is a 1 -4-phenylene spacer, and R is a C-l to C-8 alkyl.

[0065] Another aspect of this invention is a composition comprising any one of the inventive copolymers embodiments described herein having structures (A), and an organic spin casting solvent, where Li is a 1 -4-phenylene spacer and RD is either a C-l to C-8 alkylene hydroxy moiety, a C-l to C-8 alkylenecarboxylic acid or a benzylic alcohol comprising moiety of structure (B).

Spin Casting Solvent for compositions comprising inventive copolymers

[0066] Suitable solvents for use as an organic spin casting solvent are any solvent which is employed to spin cast materials such as photoresist, bottom antireflective coatings or other types of organic coatings using the lithographic processing of semiconductor materials which can dissolve the Inventive compounds. Non limiting examples. In another aspect of said novel composition, the organic spin casting solvent is one which can dissolve the inventive compounds. This organic spin casting solvent may be a single solvent or a mixture of solvents. Suitable solvents are organic solvent which may include, for example, a glycol ether derivative such as ethyl cellosolve, methyl cellosolve, propylene glycol monomethyl ether (PGME), diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, dipropylene glycol dimethyl ether, propylene glycol n-propyl ether, or diethylene glycol dimethyl ether; a glycol ether ester derivative such as ethyl cellosolve acetate, methyl cellosolve acetate, or propylene glycol monomethyl ether acetate (PGMEA); carboxylates such as ethyl acetate, n-butyl acetate and amyl acetate; carboxylates of di-basic acids such as diethyloxylate and diethylmalonate; dicarboxylates of glycols such as ethylene glycol diacetate and propylene glycol diacetate; and hydroxy carboxylates such as methyl lactate, ethyl lactate (EL), ethyl glycolate, and ethyl-3 -hydroxy propionate; a ketone ester such as methyl pyruvate or ethyl pyruvate; an alkyloxy carboxy lie acid ester such as methyl 3 -methoxypropionate, ethyl 3-ethoxypropionate, ethyl 2 -hydroxy -2 -methylpropionate, or methylethoxypropionate; a ketone derivative such as methyl ethyl ketone, acetyl acetone, cyclopentanone, cyclohexanone or 2-heptanone; a ketone ether derivative such as diacetone alcohol methyl ether; a ketone alcohol derivative such as acetol or diacetone alcohol; a ketal or acetal like 1,3 di oxalane and diethoxypropane; lactones such as butyrolactone; an amide derivative such as dimethylacetamide or dimethylformamide, anisole, and mixtures thereof. In one aspect of this embodiment said solvent may be PGMEA and mixture of PGMEA: and PGME. In one aspect of this embodiment said solvent is PGMEA.

Processes using Inventive Compositions

[0067] Another aspect of this invention is a process of forming a crosslinked or grafted and crosslinked coating of a copolymer comprising the steps: i) forming a coating on a substrate using any one of the compositions described herein comprising the copolymer of structure (A), ii) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a crosslinked or a grafted and crosslinked coating of the copolymer, iii) heating the crosslinked or a grafted and crosslinked coating of step ii) from about 200°C to about 250°C to form a fully cross-linked or fully crosslinked and grafted copolymer coating.

[0068] Another aspect of this invention is a process of forming a crosslinked or grafted and crosslinked neutral coating on a substrate comprising the steps: ia) forming a coating on a substrate using any one of the compositions described herein comprising the copolymer of structure (A), iia) heating the coating at a temperature from about 90°C to aboutl80°C to remove solvent and to form a crosslinked or a grafted and crosslinked coating, iiia) heating the crosslinked coating of step iid) at temperature from about 200°C to about 250°C to form a fully crosslinked or fully crosslinked and grafted neutral coating.

[0069] Another aspect of this invention is a process of forming a crosslinked neutral coating on a substrate comprising the steps: ib) forming a coating on a substrate of any one of the composition described herein comprising a copolymer of structure (A), and an organic spin casting solvent, wherein Rn is a C-l to C-8 alkyl, iib) heating the coating at a temperature from about 90°C to aboutl80°C to remove solvent and to form a crosslinked or a grafted and crosslinked coating, iiib) heating crosslinked or a grafted and crosslinked coating of step iif) at a temperature from about 200°C to about 250°C to form a fully crosslinked neutral coating.

[0070] Another aspect of this invention is a process of forming a grafted and crosslinked neutral coating on a substrate comprising the steps: ic) forming a coating on a substrate comprising any one of the compositions described herein comprising a copolymer of structure (A), and an organic spin casting solvent, wherein Rn is either a C-l to C-8 alkylene hydroxy moiety, a C-l to C-8 alkylenecarboxy lie acid or a benzylic alcohol comprising moiety of structure (B), iic) heating the coating at a temperature from about 90°C to aboutl80°C to remove solvent and to form a grafted and crosslinked coating, iiic) heating the crosslinked coating of step iic) at a temperature from about 200°C to about 250°C to form a fully grafted and crosslinked neutral coating.

[0071] Another aspect of this invention is a process of forming a self-assembled block copolymer coating on a neutral coating comprising the steps: id) forming neutral coating using any one of the processes described herein which employ a composition comprising any one of the copolymer of structure (A), iid) applying a block copolymer over the neutral coating and annealing until directed self-assembly of the block copolymer coating occurs.

[0072] Another aspect of this invention is a process of graphoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: ie) forming neutral coating using any one of the processes described herein which employ a composition comprising any one of the copolymer of structure (A), iie) providing a coating of a photoresist coating over the neutral coating, and forming a pattern in the photoresist coating, iiie) applying a block copolymer comprising an etch resistant block and a highly etchable block over the photoresist pattern and annealing until directed self-assembly occurs; and, ive) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas. In another aspect of the process, comprising steps ie) to ive), the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion, 193 nm dry, 13.5 nm, 248 nm, 365 nm and 436 nm, lithographies.

[0073] Another aspect of this invention is a process of chemoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: if) forming neutral coating using any one of the processes described herein which employ a composition comprising any one of the copolymer of structure (A), iif) providing a coating of a photoresist coating over the neutral coating, and forming a pattern in the photoresist coating, thereby forming regions in which the neutral coating is uncovered by the resist, iiif) treating the uncovered neutral coating to remove it, forming a pinning area, ivf) removing the photoresist, uncovering the unaffected neutral coating forming a chemoepitaxy pattern containing neutral and pinning areas, vf) applying a block copolymer comprising an etch resistant block and a highly etchable block over the neutral coating and annealing until directed self-assembly occurs; and vif) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

In another aspect of the process, comprising steps if) to vif), the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion, 193 nm dry, 13.5 nm, 248 nm, 365 nm and 436 nm lithographies.

Another aspect of this invention is the use of the random polymer having structure (A) described herein or the composition described herein comprising said random polymer having structure (A), for forming a coating on a substrate.

EXAMPLES

Chemicals and Characterization Equipment

[0074] Chemicals, unless otherwise indicated, were obtained from the Millipore-Sigma Corporation (St. Louis, Missouri).

[0075] SiARC employed in these examples were JSR SiARCs ISX-302 and JSR SiARCs ISX-302 ISX-304, commercially available from JSR Micro, Inc. (1280 N. Mathilda Ave, Sunnyvale, CA 94089) [0076] X H NMR spectra were recorded using Bruker Advance III 400 MHz spectrometer (e.g. using CDCh as solvent).

[0077] Lithographic Experiments were done using a TEL Clean ACT8 track. SEM pictures were taken with an Applied Materials NanoSEM_3D Scanning electron microscope picture are shown at either 5 FOV magnification or 100 FOV magnification (Field of view (FOV) = 5 pm using 1, 2, 4 and 10 FOV).

[0078] Unless otherwise indicated Molecular weight measurements (a.k.a. M n poly dispersity) were done by Gel permeation chromatography (PSS Inc. Germany) equipped with 100A, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns using THF solvent as an eluent. Polystyrene polymer standards were used for calibration. GPC was measured using Agilent Gel permeation chromatography systems equipped with 100A, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns showed that the 1 sl P(SDPE) block had M n (GPC) = 45,048 g/mol and M w /M n = 1.04 with respect to PS calibration standards. Gel Permeation Chromatography: 1 mg/mL in THF solution injected using 0.1 pL into a polystyrene-calibrated GPC tool with 1 mL/min THF flow.

Synthesis of Polymeric Materials for Testing

Reference Block Copolymer Synthesis Example 1 Synthesis of P(S-b-MMA) (62k-b-25k)

[0079] P(S-b-MMA) (62K-b-25K) was synthesized using the same procedure as described in example 2. To achieve target Mn and compositions of PS and PMMA block, the amount of initiator and monomer quantities were changed. Briefly, 20 g (192 mmol) of styrene was polymerized with 4.38 mL (1.4M solution) of sec-butyllithium. Then 0.07 g (0.38 mmol) of 1,1 ’-diphenylethylene (DPE) in 2.5 ml of dry toluene was added via ampule into the reactor. The orange color of the reaction mixture turned into dark brick-red indicating conversion of styryllithium active centers to delocalized DPE adduct carbanion. After 2 min of stirring, a small amount (2 mL) of the reaction mixture was withdrawn for PS block molecular weight analysis. Then methyl methacrylate (8.10 g, 81 mmol) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10 % water, filtered, and dried at 55°C for 12 h under vacuum giving 26.5 g of P(S-b-MMA) (94 % yield) consisting of 70.1 mol. % of polystyrene block and 29.9 mol. % of polymethylmethacrylate block. The diblock copolymer molecular weight obtained from GPC is Mn,PS-b-PMMA = 86,518 g/mol and Mw/Mn = 1.01. Reference Block Copolymer Solution (Ref SOL 1)

[0080] Reference Block Copolymer Synthesis Example 1 was dissolved into in PGMEA as a 1.7 wt. % solution and filtered through a 0.2-micron PTFE filter. This solution was used for the Neutrality Experiments done to Evaluate both the Inventive Neutral Layers and Inventive non-polar pinning layers described as follows:

Summary of Inventive Neutral Layers Experimental Results

[0081] Herein are specific examples of the disclosed crosslinkable neutral tetrapolymers which were found to be compatible with SiARC as well as SiOx, SiNyOx and SiN substates. These new tetrapolymers comprising of styrene (S), 4-vinylbenzocyclobutene (VBCB), methyl methacrylate (MMA), and either 2-hydroxyethyl methacrylate (HEMA) or 4-hydroxyphenyl methacrylate (PQMA) initiated by either 2,2 ' -Azobis(2-methylpropionitrile) (AIBN), 4,4'-azobis(4- cyanopentanol) (AIBN-OH) or 4,4 ' -Azobis(4-cyanovaleric acid) (AIBN-COOH) were made to address issues of both defectivity found in Neutral Layers formed from terpolymers and also problems of. An improvement in the composition of NLD-175 to P(S-r-VBCB-r-MMA-r-HEMA)- OH [ie., hydroxyl-terminated poly(styrene-co-4-vinylbenzobcyclobutene-co-methyl methacrylate- co-2-hydroxy ethyl methacrylate)] and P(S-r-VBCB-r-MMA-r-PQMA)-OH [ie., hydroxyl- terminated poly(styrene-co-4-vinylbenzobcyclobutene-co-methyl methacrylate-co-4- hydroxyphenyl methacrylate)] gave a crosslinking neutral mat with low coating defects. The S component gives the polymer the needed hydrophobicity. The VBCB hydrophobic component allows the polymer to crosslink into an insoluble film when baked. The MMA component gives the polymer the needed hydrophilicity for neutrality. Current results show the %HEMA or %PQMA consisting of 1 to 5% give good compatibility to SiARC. The HEMA and PQMA components make the polymer more hydrophilic and compatible with the SiARC substrate. The hydroxyl or carboxylic acid terminated end group from the radical initiator assists the polymer chain to graft onto the substrate as the VBCB crosslinks upon heating. These groups will contribute to the overall hydrophilicity of the polymer and may disrupt the neutrality of the fdm towards the diblock copolymer.

[0082] Analysis of the crosslinked film was first checked for fdm retention with a soak test and neutrality with a fingerprint test. A novel tetra-polymeric solution was spin coated and baked on a wafer. This newly formed fdm was soaked in EBR solvent and then rinsed off. Measurement of the film thickness before and after the soak test will determine the film retention. A diblock 1 copolymer Comparative Example 1 was coated from a solution on the BCP (PME-979) was annealed on the novel tetra-polymeric film to study the neutrality for the appearance of fingerprint. This impartiality for either block of the diblock copolymer allows for the self-assembly of the BCP into contact holes. The coating is then analyzed for defects using in-house SEM, which showed improved coating with insignificant defects

Example 1:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-2- hydroxyethyl methacrylate)-hydroxyl terminated (48/35/15/2 feed)

[0083] Styrene (22.62 g, 217 mmol), 4-vinylbenzocyclobutene (8.84 g, 67.9 mmol), methyl methacrylate (15.86 g, 15.8 mmol), 2-hydroxyethyl methacrylate (1.18 g, 0.91 mmol), 4,4'- azobis(4-cyanopentanol) (1.50g, 5.96 mmol) and 2-butanone (75 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in an 85°C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IPA. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IPA. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IPA. The polymer was collected by vacuum filtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 24.1 g (50.0 %) white powder, GPC: 11,241 g/mol Mn, 23,167 g/mole Mw, 2.06 PDI.

Example 2:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-2- hydroxyethyl methacrylate)-hydroxyl terminated (33/30/35/2 feed)

[0084] Styrene (30.64 g, 294 mmol), 4-vinylbenzocyclobutene (34.82 g, 267 mmol), methyl methacrylate (31.24 g, 312 mmol), 2-hydroxyethyl methacrylate (2.32 g, 17.8 mmol), 4,4'-azobis(4- cyanopentanol) (0.99 g, 3.92 mmol) and 2-butanone (150 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85 °C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IPA. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IPA. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IPA. The polymer was collected by vacuum fdtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 44.4 g (44.6 %) white powder, GPC: 20,225 g/mol Mn, 46,045 g/mole Mw, 2.28 PDI. Example 3:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-2- hydroxyethyl methacrylate)-hydroxyl terminated (28/25/45/2 feed)

[0085] Styrene (26.40 g, 254 mmol), 4-vinylbenzocyclobutene (29.47 g, 226 mmol), methyl methacrylate (40.79 g, 407 mmol), 2-hydroxy ethyl methacrylate (2.36 g, 18.1 mmol), 4,4'-azobis(4- cyanopentanol) (0.99 g, 3.92 mmol) and 2-butanone (150 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85 °C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IPA. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IPA. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IPA. The polymer was collected by vacuum fdtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 47.3 g (47.5 %) white powder, GPC: 21,644 g/mol Mn, 46,731 g/mole Mw, 2.16 PDI.

Example 4:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-2- hydroxyethyl methacrylate)-hydroxyl terminated (13/30/55/2 feed)

[0086] Styrene (6.07 g, 58.3 mmol), 4-vinylbenzocyclobutene (17.52 g, 135 mmol), methyl methacrylate (24.70 g, 247 mmol), 2-hydroxy ethyl methacrylate (1.17 g, 0.90 mmol), 4,4'-azobis(4- cyanopentanol) (0.54 g, 2.16 mmol) and 2-butanone (75 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85 °C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IPA. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IPA. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IPA. The polymer was collected by vacuum fdtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 24.3 g (48.8 %) white powder, GPC: 26,761 g/mol Mn, 43,866 g/mole Mw, 1.64 PDI.

Example 5:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-4- hydroxyphenyl methacrylate)-hydroxyl terminated (48/35/15/2 feed)

[0087] Styrene (43.37 g, 416 mmol), 4-vinylbenzocyclobutene (39.53 g, 304 mmol), methyl methacrylate (13.03 g, 130 mmol), 4-hydroxyphenyl methacrylate (3.09 g, 17.3 mmol), 4,4'- azobis(4-cyanopentanol) (0.99 g, 3.92 mmol) and 2-butanone (150 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85°C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IP A. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IP A. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IP A. The polymer was collected by vacuum filtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 38.4 g (38.6 %) white powder, GPC: 22,482 g/mol Mn, 40,376 g/mole Mw, 1.80 PDI.

Example 6:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-4- hydroxyphenyl methacrylate)-hydroxyl terminated (33/30/35/2 feed)

[0088] Styrene (30.22 g, 290 mmol), 4-vinylbenzocyclobutene (34.35 g, 264 mmol), methyl methacrylate (30.82 g, 308 mmol), 4-hydroxyphenyl methacrylate (3.13 g, 17.6 mmol), 4,4'- azobis(4-cyanopentanol) (1.48 g, 5.86 mmol) and 2-butanone (150 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85°C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IP A. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IP A. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IP A. The polymer was collected by vacuum filtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 48.6 g (48.9 %) white powder, GPC: 21,056 g/mol Mn, 37,204 g/mole Mw, 1.77 PDI.

Example 7:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-4- hydroxyphenyl methacrylate)-hydroxyl terminated (30/30/35/5 feed)

[0089] Styrene (26.94 g, 259 mmol), 4-vinylbenzocyclobutene (33.68 g, 259 mmol), methyl methacrylate (30.22 g, 302 mmol), 4-hydroxyphenyl methacrylate (7.68 g, 43.1 mmol), 4,4'- azobis(4-cyanopentanol) (1.48 g, 5.86 mmol) and 2-butanone (150 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85°C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IP A. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IP A. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IP A. The polymer was collected by vacuum filtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 51.0 g (51.4 %) white powder, GPC: 23,315 g/mol Mn, 38,666 g/mole Mw, 1.66 PDI.

Example 8:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate-co-4- hydroxyphenyl methacrylate)-hydroxyl terminated (23/30/45/2 feed)

[0090] Styrene (10.57 g, 102 mmol), 4-vinylbenzocyclobutene (17.24 g, 132 mmol), methyl methacrylate (19.88 g, 199 mmol), 4-hydroxyphenyl methacrylate (1.57 g, 8.8 mmol), 4,4'- azobis(4-cyanopentanol) (0.74 g, 2.93 mmol) and 2-butanone (75 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85°C oil bath for 16 hours. The mixture was cooled to room temperature and diluted with THF and precipitated in IP A. The polymer was collected and re-dissolved in THF as a 15% solids solution and precipitated in IP A. The polymer was collected and dried in a vacuum oven. The polymer was dissolved as a 10% solids EtOAc solution, washed with DI water four times, and precipitated in IP A. The polymer was collected by vacuum filtration, pulled dry under air, and dried in a vacuum oven overnight at 70°C. 24.9 g (50.1 %) white powder, GPC: 20,979 g/mol Mn, 35,723 g/mole Mw, 1.70 PDI.

Comparative Example 1:

Synthesis of Poly(styrene-co-4-vinylbenzocyclobutene-co-methyl methacrylate)-hydroxyl terminated (30/30/40 feed)

[0091] Styrene (28.0 g, 0.27 mole), 4-vinylbenzocyclobutene (35.0 g, 0.27 mole), methyl methacrylate (35.9 g, 0.36 mole), 4,4'-azobis(4-cyanopentanol) (0.99 g, 3.92 mmole) and 2- butanone (150 g) were added into a flask and sparged with nitrogen for 30 minutes. The mixture was heated in a 85°C oil bath for 16 hours. The mixture was cooled to room temperature and diluted two-fold with THF before precipitating in isopropanol. The polymer was collected and redissolved in THF as a 15% solids solution and precipitated in isopropanol. The polymer was collected and dried in a vacuum over at 70°C. 26 g (26 %) white powder, GPC: 26,113 g/mol Mn, 43,631 g/mole Mw, 1.67 PDI.

Characterization

[0092] Table 1 : gives a summary of the polymers characteristics of the polymers made in Examples 1 to 8. FIG. 1 shows a representative example of a H-l NMR spectrum of a Poly(styrene-co-4- vinylbenzocyclobutene-co-methyl methacrylate-co-4-hydroxyphenyl methacrylate)-which is hydroxyl terminated [P(S-r-VBCB-r-MMA-r-HEMA)-OH] polymer (Example 1) and FIG. 2 respectively show representative examples of the NMR spectra of Poly(styrene-co-4- vinylbenzocyclobutene-co-methyl methacrylate-co-4-hydroxyphenyl methacrylate)-hydroxyl terminated [P(S-r-VBCB-r-MMA-r-PQMA)-OH] polymer (Example 5).

[0093]

Table 1

Coating of SiARC

[0094] Coatings of JSR SiARCs ISX-302 or ISX-304, were prepared by coating on Silicon wafers and were baked at 220°C/90s in N2. This wafer was separated into smaller coupon pieces and affixed onto a Si wafer.

[0095] Preparation of and coating of neutral layer test formulation:

[0096] Testing solutions were prepared by individually dissolving the polymers of Examples 1 to 8 and comparative Example 1 in PGMEA as a 0.3 wt% solutions and fdtered these through a 0.2 micron PTFE fdter. These solution were coated on a SiARC substrate, either JSR SiARCs ISX- 302 and ISX-304, and baked at 250°C/2 min. in N2, coat SOL 1 (BCP), bake 260°C/15 min in air. The naming of the solutions mirrors the naming of the examples thus SOL 1, to SOL 8 are solution formed from the Examples 1 to 8 and SOL COMP 1 is a sample formed from Comparative Examples 1.

[0097] FIG. 3 shows Novel mats coated on JSR SiARCs ISX-302 and ISX-304. Clearly, de-wet defects were observed in a neutral layer film formed SOL COMP 1 on both SiARC’s. In contrast, unexpectedly, these coating defects were not observed for the Inventive neutral layer film coated from SOL 1 and SOL 8 which were respectively formulated with the inventive neutral layer polymers containing as the hydroxy functionalized repeat unit either HEMA or PQMA, made with the AIBN-OH resulting in an OH end group.

[0098] These coupons were evaluated for coating defects as de-wet spots, black dots or white dots using SEM tool as shown in FIG. 4. A SEM image with white spots was rated De-wet defects. A SEM image with light white spots was rated Slight defects. A SEM image with barely noticeable white spots was rated Very Slight defects. A SEM image with no noticeable spots was rated No defects.

[0099] Similarly, FIG. 5 shows that when the block copolymer coating resulting was coated from Ref SOL 1 and annealed on the neutral mats coated on JSR SiARC ISX-302, collapse of the selfassembled morphology was only observed with the comparative neutral layer coated from SOL COMP 1 and unexpectedly not with the neutral coatings on JSR SiARC ISX-302 formed from the inventive polymers in SOL 1 and SOL 5, respectively.

[0100] FIG. 6 shows the evaluation of SEM images with >90% contact hole morphology was rated Good C/H (A). A SEM image with 50-89% contact hole morphology was rated Partial C/H (B). A SEM image with 0-49% contact hole morphology was rated Poor C/H (C).

[0101] FIG. 7 shows that when the block copolymer coating resulting was coated from ref SOL 1 and annealed on the neutral mats coated on JSR SiARC ISX-304, the self-assembled morphology observed was comparable among SOL COMP 1 and the neutral coatings on JSR SiARC ISX-304 formed from the inventive polymers in SOL 1 and SOL 5, respectively.

[0102] Although the disclosed and claimed subject matter has been described and illustrated with a certain degree of particularity, it is understood that the disclosure has been made only by way of example, and that numerous changes in the conditions and order of steps can be resorted to by those skilled in the art without departing from the spirit and scope of the disclosed and claimed subject matter.