Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ELECTROSTATIC CHUCK FOR SEMICONDUCTOR WORKPIECES
Document Type and Number:
WIPO Patent Application WO/2006/115731
Kind Code:
A1
Abstract:
A chuck for a semiconductor workpiece features integrated resistive heating and electrostatic bipolar chucking elements on a thermal pedestal. These integrated heating and chucking elements maintain wafer flatness, as well as uniformity of an underlying gap accommodating a thermal gas between the workpiece and the chuck. In accordance with one embodiment of the present invention, a laminated Kapton wafer heater is attached to the top of the thermal surface, under the wafer: At least two electrical voltage zones are isolated within the heater, in order to create a chucking force between the chuck and the wafer without having to contact the wafer with an electrical conductor. These voltage zones can be created by using separate conducting elements as well as by imposing a DC bias on zones including the resistive heating elements.

Inventors:
ISHIKAWA TETSUYA (US)
LUE BRIAN (US)
Application Number:
PCT/US2006/013078
Publication Date:
November 02, 2006
Filing Date:
April 06, 2006
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
ISHIKAWA TETSUYA (US)
LUE BRIAN (US)
International Classes:
B23Q3/15; H01L21/683; B23Q3/154; H02N13/00
Foreign References:
US5886863A1999-03-23
US6134096A2000-10-17
US20020170882A12002-11-21
Other References:
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 01 30 January 1998 (1998-01-30)
Attorney, Agent or Firm:
Tobin, Kent J. (Two Embarcadero Center 8th Floo, San Francisco California, US)
Download PDF:
Claims:
WHAT IS CLAIMED IS:
1. A semiconductor workpiece chuck comprising: an upper surface comprising a dielectric material; a plurality of raised setoff features extending a height above the upper surface; at least two electrodes embedded within the dielectric material, the at least two electrodes configured to be in electrical communication with opposite poles of a voltage source; and a resistive heating element separated from the electrodes by dielectric, the resistive heating element configured to be in electrical communication with a second voltage source.
2. The chuck of claim 1 wherein the electrodes comprise copper.
3. The chuck of claim 1 wherein the heating element comprises INCONEL™.
4. The chuck of claim 1 wherein the chuck exhibits a diameter of about 300mm, and the raised standoff structures number about 17.
5. The chuck of claim 1 wherein the height of the raised standoff features is about 100 μm or less.
6. The chuck of claim 1 further comprising a peripheral region including a supplemental heating element.
7. An apparatus for processing a semiconductor workpiece, the apparatus comprising: a processing chamber including walls housing a thermal pedestal, the thermal pedestal including channels for flowing a circulated heat transfer fluid; a chuck configured to be positioned on the thermal pedestal, the chuck comprising, an upper surface comprising a dielectric material; a plurality of raised setoff features extending a height above the upper surface, a plurality of electrodes embedded within the dielectric material and configured to be in electrical communication with opposite poles of a voltage source, and a resistive heating element separated from the electrodes by dielectric, the resistive heating element configured to be in electrical communication with a second voltage source; and a temperature sensor positioned over the chuck upper surface.
8. The apparatus of claim 7 wherein the electrodes comprise copper.
9. The apparatus of claim 7 wherein the heating element comprises INCONEL™.
10. The apparatus of claim 7 wherein the chuck exhibits a diameter of about 300mm, and the raised standoff features number about 17.
11. The apparatus of claim 7 wherein the height of the raised stand off features is about 100 μm or less.
12. The apparatus of claim 7 wherein the chuck further comprises a peripheral region including a supplemental heating element.
13. The apparatus of claim 7 wherein the processing chamber comprises a bake module for a resist processing tool.
14. The apparatus of claim 7 wherein the heat transfer fluid is selected from the group consisting of water, air, and helium.
15. A method of processing a semiconductor workpiece, the method comprising: disposing a semiconductor workpiece on a plurality of raised standoff features projecting from an upper surface of dielectric material of a chuck; applying a first potential difference to a pair of bipolar electrodes embedded in the dielectric material to generate an attractive chucking force between the workpiece and the chuck; applying a second, potential difference to a resistive heating element within the chuck to heat the workpiece; sensing a temperature of the workpiece; and halting application of the second potential difference when a target temperature is sensed.
16. The method of claim 15 further comprising: disposing the chuck on a thermal pedestal defining a channel; prior to disposing the workpiece on the chuck, circulating a heat control fluid through the channel to stabilize a temperature of the chuck; and upon disposing the workpiece on the chuck, halting circulation of the heat control fluid through the channel.
17. The method of claim 16 wherein circulating the heat control fluid comprises circulating at least one of water, air, and helium.
18. The method of claim 15 wherein disposing the semiconductor workpiece comprises disposing the semiconductor workpiece including a resist layer.
19. The method of claim 18 wherein heating the workpiece comprises one of a Post BARC Bake step, a Post PR Bake step, and a postexposure bake (PEB) step.
20. The method of claim 15 wherein the workpiece is supported by the raised standoff features a distance of about 100 μm or less over an upper surface of the chuck.
Description:
ELECTROSTATIC CHUCK FOR SEMICONDUCTOR WORKPIECES

CROSS-REFERENCE TO RELATED APPLICATION [0001] The instant nonprovisional patent application claims priority to U.S. provisional patent application no. 60/674,155, filed April 21, 2005 and incorporated by reference herein for all purposes.

BACKGROUND OF THE INVENTION

[0002] The present invention relates generally to the field of semiconductor processing equipment. More particularly, the present invention relates to a method and apparatus for chucking and heating a semiconductor workpiece in a semiconductor processing sequence.

[0003] Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. As device geometries have become more dense, reductions in the spacing between device elements has occurred. The minimum linewidths achieved using semiconductor lithography systems, sometimes referred to as a critical dimension (CD) have decreased over time.

[0004] Lithography or photolithography generally refers to processes for transferring patterns between a mask layer and a semiconductor substrate. In lithography processes for semiconductor device fabrication, a silicon substrate is uniformly coated with a photosensitive material, referred to as a photoresist, in a cluster tool. A scanner/stepper tool selectively exposes the photoresist to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface. Generally, the photoresist film is selectively exposed using a mask layer that preferentially blocks a portion of the incident radiation. The portions of the photoresist film that are exposed to the incident radiation become more or less soluble depending on the type of photoresist that is utilized. A developing step dissolves the more soluble regions of the photoresist film, producing a patterned photoresist layer corresponding to the mask layer used in the exposure process.

[0005] The precision with which the patterns are developed on the semiconductor substrate impacts the CDs present on the substrate, likely impacting device performance. Overdevelopment may result in an increase in linewidths, whereas underdevelopment may result in portions of the photoresist layer not being removed as desired.

[0006] During the resist processing described above, it may be necessary to heat and cool the workpiece. Such heating and cooling is generally accomplished by contacting a backside of the workpiece with a thermal gas. In particular, conventional tools rely on spacers or stand-off having a height of at least about 100 μm to maintain a gap between the wafer and an underlying thermal substrate, with the gas being present within the gap. According to this approach, gravity and thermal stresses determine the flatness of the wafer, and the parallelism of the wafer to the thermal substrate.

[0007] However, reliance upon only gravity and thermal stress to determine wafer flatness may be inadequate to ensure uniform control over temperature over the area of the workpiece. Specifically, small variation in the distance between the workpiece and the underlying thermal substrate may allow relatively large temperature nonuniformities to be present during hot/cold or cold/hot transients. Such temperature nonuniformities can in turn result in undesirable variation in resist processing, affecting consistency in structure and operation of active electrical devices fabricated on the same workpiece.

[0008] Therefore, there is a need in the art for improved systems and methods for handling a semiconductor workpiece during processing.

BRIEF SUMMARY OF THE INVENTION

[0009] According to the present invention, techniques related to the field of semiconductor processing equipment are provided. More particularly, the present invention relates to a method and apparatus for chucking and heating a semiconductor workpiece. Merely by way of example, the method and apparatus have been applied to heating a semiconductor workpiece during processing with resist materials. But it would be recognized that the invention has a much broader range of applicability.

[0010] An embodiment of an apparatus in accordance with the present invention for a semiconductor workpiece features integrated resistive heating and electrostatic

chucking elements on a thermal pedestal. These integrated heating and chucking elements maintain wafer flatness, as well as uniformity of an underlying gap accommodates a thermal gas between the workpiece and the chuck. In accordance with one embodiment of the present invention, a laminated Kapton wafer heater is attached to the top of a thermal surface, under the wafer: At least two electrical voltage zones are isolated within the heater, in order to create a chucking force between the heater element and wafer without contacting the wafer to an electrical conductor. These voltage zones can be created by using separate conducting elements as well as by imposing a DC bias on zones including the resistive heating elements.

[0011 ] An embodiment of a semiconductor workpiece chuck in accordance with the present invention, comprises, an upper surface comprising a dielectric material, and a plurality of raised set-off features extending a height above the upper surface. At least two electrodes are embedded within the dielectric material, the at least two electrodes configured to be in electrical communication with opposite poles of a voltage source. The chuck further includes a resistive heating element separated from the electrodes by dielectric, the resistive heating element configured to be in electrical communication with a second voltage source.

[0012] An embodiment of an apparatus in accordance with the present invention for processing a semiconductor workpiece, comprises, a processing chamber including walls housing a thermal pedestal, the thermal pedestal including channels for flowing a circulated heat transfer fluid. A chuck is configured to be positioned on the thermal pedestal. The chuck comprises an upper surface comprising a dielectric material, a plurality of raised set-off features extending a height above the upper surface, and a plurality of electrodes embedded within the dielectric material and configured to be in electrical communication with opposite poles of a voltage source. A resistive heating element is separated from the electrodes by dielectric, the resistive heating element configured to be in electrical communication with a second voltage source. A temperature sensor is positioned over the chuck upper surface.

[0013] An embodiment of a method in accordance with the present invention for processing a semiconductor workpiece, comprises, disposing a semiconductor workpiece on a plurality of raised stand-off features projecting from an upper surface of dielectric material of a chuck. A first potential difference is applied to a pair of bipolar

electrodes embedded in the dielectric material to generate an attractive chucking force between the workpiece and the chuck. A second potential difference is applied to a resistive heating element within the chuck to heat the workpiece. A temperature of the workpiece is sensed, and application of the second potential difference is halted when a target temperature is sensed.

[0014] These and other embodiments of the invention along with many of its advantages and features are described in more detail in conjunction with the text below and attached figures.

BRIEF DESCRIPTION OF THE DRAWINGS [0015] Figure 1 is a plan view of one embodiment of a track lithography tool according to one embodiment of the present invention.

[0016] Figure 2 is a simplified schematic diagram of a developer endpoint detection system according to a specific embodiment of the present invention.

[0017] Figure 3 A is a flowchart illustrating a processing sequence for a semiconductor substrate according to one embodiment of the present invention.

[0018] Figure 3B is a flowchart illustrating a method of detecting developer endpoint according to one embodiment of the present invention.

[0019] Figure 4 is a simplified schematic diagram of a developer endpoint detection system according to an alternative embodiment of the present invention.

[0020] Figure 5 is a simplified cross-sectional view of an apparatus in accordance with an embodiment of the present invention,

[0021] Figures 6a-b are simplified schematic views showing the generation of an electrostatic chucking force between a wafer and an embodiment of the present invention.

[0022] Figure 7 is a simplified timing diagram illustrating operation of an embodiment of an apparatus in accordance with the present invention.

[0023] Figure 8 is a simplified perspective view of an apparatus in accordance with an embodiment of the present invention.

DETAILED DESCRIPTION OF THE INVENTION

[0024] According to the present invention, techniques related to the field of semiconductor processing equipment are provided. One particular embodiment in accordance with the present invention relates to processing a semiconductor workpiece with resist material. Merely by way of example, the method and apparatus have been applied to processing a semiconductor workpiece with resist. But it would be recognized that the invention has a much broader range of applicability.

[0025] Figure 1 is a plan view of one embodiment of a track lithography tool 10 in which the developer endpoint detection system of the present invention may be used. One embodiment of the track lithography 10, as illustrated in figure 1, contains a front end module (sometimes referred to as a factory interface) 50, a central module 150, and a rear module (sometimes referred to as a scanner interface) 190. The front end module 50 generally contains one or more pod assemblies or FOUPS 105 (e.g., items 105 A-D), a front end robot 108, and a front end processing rack 52. The central module 150 will generally contain a first central processing rack 152, a second central processing rack 154, and a central robot 107. The rear module 190 will generally contain a rear processing rack 192 and a back end robot 109. In one embodiment, the track lithography tool 10 contains: a front end robot 108 adapted to access processing modules in the front end processing rack 52; a central robot 107 that is adapted to access processing modules in the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the rear processing rack 192; and aback end robot 109 that is adapted to access processing modules in the rear processing rack 192 and in some cases exchange substrates with a stepper/scanner 5. In one embodiment, a shuttle robot is adapted to transfer substrates between two or more adjacent processing modules retained in one or more processing racks (e.g., front end processing rack 52, first central processing rack 152, etc.). In one embodiment, a front end enclosure 104 is used to control the environment around the front end robot 108 and between pods assemblies 105 and front end processing rack 52.

[0026] Figure 1 also contains more detail of possible process chamber configurations found in aspects of the invention. For example, the front end module 50 generally contains one or more pod assemblies or FOUPs 105, a front end robot 108 and a front end processing rack 52. The one or more pod assemblies 105, are generally adapted to accept one or more cassettes 106 that may contain one or more substrates "W", or

wafers, that are to be processed in the track lithography tool 10. The front end processing rack 52 contains multiple processing modules (e.g., bake plate 90, chill plate 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In one embodiment, the front end robot 108 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and between the one or more processing modules retained in the front end processing rack 52.

[0027] The central module 150 generally contains a central robot 107, a first central processing rack 152 and a second central processing rack 154. The first central processing rack 152 and a second central processing rack 154 contain various processing modules (e.g., coater/developer module with shared dispense 370, bake module 90, chill plate 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In one embodiment, the central robot 107 is adapted to transfer substrates between the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the rear processing rack 192. In one aspect, the central robot 107 is positioned in a central location between the first central processing rack 152 and a second central processing rack 154 of the central module 150.

[0028] The rear module 190 generally contains a rear robot 109 and a rear processing rack 192. The rear processing rack 192 generally contains processing modules (e.g., coater/developer module 60, bake module 90, chill plate 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In one embodiment, the rear robot 109 is adapted to transfer substrates between the rear processing rack 190 and a stepper/scanner 5. The stepper/scanner 5, which may be purchased from Canon USA, hie. of San Jose, CA, Nikon Precision Inc. of Belmont, CA, or ASML US, Inc. of Tempe Arizona, is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs). The scanner/stepper tool 5 exposes a photosensitive material (resist), deposited on the substrate in the cluster tool, to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface.

[0029] In one embodiment, a system controller 101 is used to control all of the components and processes performed in the cluster tool 10. The controller 101, is

generally adapted to communicate with the stepper/scanner 5, monitor and control aspects of the processes performed in the cluster tool 110, and is adapted to control all aspects of the complete substrate processing sequence. The controller 101, which is typically a microprocessor-based controller, is configured to receive inputs from a user and/or various sensors in one of the processing chambers and appropriately control the processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory. The controller 101 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary. The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art. A program (or computer instructions) readable by the controller 101 determines which tasks are performable in the processing chamber(s). Preferably, the program is software readable by the controller 101 and includes instructions to monitor and control the process based on defined rules and input data.

[0030] Figure 1 further illustrates a coater/developer module with a shared dispense 370 mounted in the second central processing rack 154, that may adapted to perform a photoresist coat step or a develop step in both of the process chambers 110 and 111. This configuration is advantageous since it allows some of the common components found in the two process chambers 110 and 111 to be shared thus reducing the system cost, complexity and footprint of the tool. As illustrated in figure 1 and described in more detail below, two spin chucks 130 and 131are provided in processing chambers 110 and 111, respectively. A shared central fluid dispense bank 112 is positioned between the two processing chambers and dispense arm assembly 118 is able to select nozzles from the central fluid dispense bank and serve both spin chucks. Central robot 107 as illustrated in figure 1 is able to access both processing chambers 110 and 111 independently.

[0031] Figure 2 is a simplified schematic diagram of a developer endpoint detection system according to a specific embodiment of the present invention. The developer endpoint detection systems provided by embodiments of the present invention are generally provided inside coater/developer modules 60 or inside the coater/developer module with a shared dispense 370. As described below, elements of developer endpoint detection systems according to the present invention are positioned inside the developer modules in locations above the plane of the substrate. Merely by way of example, in a specific embodiment, the optical elements are mounted in opposing upper corners of the process module or chamber. Of course, one of ordinary skill in the art would recognize many variations, modifications, and alternatives.

[0032] As will be evident to one of skill in the art, it is not necessary that every element of systems according to the present invention be located inside the developer module or chamber, as, for example, optical radiation produced by an external source may be communicated to the developer module, or other chamber in which the endpoint detection measurements are made, through fiber optic cables. Additionally, as discussed more fully below in relation to baseline measurements, developer endpoint detection systems provided by embodiments of the present invention may be contained in process chambers other than the coat/develop modules. Moreover, although in a particular embodiment, the methods and systems of the present invention are applied in the context of a lithographic development process, the present invention is not limited to this application. In alternative embodiments, other develop processes are included in the scope of the present invention.

[0033] As illustrated in figure 2, which provides a side view of one embodiment of the present invention, a support surface 210 is provided and a substrate 212 is mounted on the support surface. Although figure 1 does not illustrate substrates "W" as mounted in modules 60 or 370, one of skill in the art will appreciate that substrates are positioned in the coat/develop modules during various stages of processing. Typically, the substrate is a semiconductor wafer at one stage of processing. In some embodiments, the support surface is an electrostatic chuck coupled to a drive mechanism (not shown) that is adapted to translate the substrate 212 vertically and rotate the substrate as well. In alternative embodiments, the support surface 210 is a vacuum chuck. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

[0034] As illustrated in figure 2, substrate 212 is patterned to form a number of device features 214 distributed on a surface of the substrate. Generally the device features are associated with ICs fabricated on the substrate. As is well known to one of skill in the art, the process of manufacturing ICs may include more than 100 steps, with many of the steps being photolithography processes. Accordingly, although figure 2 simply illustrates a single group of device features 214 on the surface of the substrate, it is understood that the figure illustrates a stage of processing in which a number of layers may have already been patterned on the substrate. In addition, many additional layers may yet be patterned on the substrate surface. Figure 2 is not drawn to scale, as generally, device features associated with ICs fabricated on the substrate are of micron and sub-micron dimensions and not clearly resolved without microscopic techniques.

[0035] Optical source 230 produces beam 232, which is directed toward the surface of the substrate 212. The beam is illustrated as collimated in figure 2 and an optical system (not shown) is used in some embodiments to provide a beam of the desired dimensions at the surface of the substrate. In one embodiment, the area on the surface of the substrate, on which the beam emitted from the laser 230 is projected, is defined as the detection area 234. In one embodiment, the size of the detection area is varied or controlled so that the amount of noise contained in the detected signal is minimized. Noise in the detected signal can be generated due to the variation in the pattern topology seen by the detection area during processing. Accordingly, in one embodiment, the beam is expanded and collimated to expose a number of different device features, hi other embodiments, the beam is focused to a reduced diameter and then collimated to expose a fewer number of device features using the beam 232. Of course, the particular beam dimensions selected will depend on the various applications.

[0036] Generally, the optical source 230 is a tunable, single-wavelength laser, but this is not required by the present invention, hi alternative embodiments, the optical source is a discharge lamp or other narrow band optical source selected for the output wavelength and spectral bandwidth. In alternative embodiments, the optical source 230 is a group of single frequency laser sources optically combined to produce a single, multi-spectral beam. One of ordinary skill in the art would recognize many variations, modifications, and alternatives. As described more fully below, a multi-spectral beam,

either generated sequentially using a tunable source or simultaneously using one or more lasers, for example, enables enhanced system performance.

[0037] As illustrated in figure 2, a developer puddle 216 is illustrated on the surface of the substrate and intermingled with the device features 214. Although the developer puddle 216 illustrated in figure 2 is at a level below the upper surfaces of the device features, this is not required by the present invention. As will be evident to one of skill in the art, embodiments of the present invention may be used with developer puddles thicker than the device features being developed. Optical beam 232 impinges on the surface of the device features and the developer puddle and is reflected off the interfaces defining the boundaries of the device features and the developer puddle.

[0038] Additionally, the beam is refracted as it enters the developer puddle, subsequently reflecting off device features submerged under the developer puddle and refracting at the developer puddle / air interface. Moreover, the beam is diffracted by features on the order of the wavelength of the optical beam. For many sub-micron device features, significant diffraction of the beam results. In figure 2, these complex optical processes are represented by beams 220, 222, and 224. One of ordinary skill in the art would recognize that scattering of the beam as a result of diffuse reflection off the surface will generally result in a cone of scattered radiation, depending on the proportions of specular and diffuse reflection. Additionally, multiple reflections off layers and interfaces will generate interference patterns and other optical phenomena. For purposes of clarity in the illustration, these effects are incorporated into the simple beam 220, although it is appreciated that an optical system (not shown) is generally utilized to collect, collimate, and/or image the radiation reflected from the substrate surface onto the detectors 240, 242, and 244.

[0039] In one embodiment, the detector 240 is oriented to receive a primary reflection from the surface and thus is aligned with the incident beam (e.g., the same absolute value for the angle of incidence relative to the surface as the beam 232). Due to the interference between the impinging beam and the pattern formed in the resist during the exposure and develop processes, the intensity of the detected radiation at detector 240 will vary as the development step progresses. In one embodiment, the variation in the intensity of the reflected radiation detected by detector 240 is created when the developer dissolves the soluble portions of the photoresist during the

development process, thus causing a pattern to emerge as from a "grating" type feature, thereby generating interference with the impinging beam. Therefore, the interference with the photoresist pattern causes scattering of the impinging beam, which causes a reduction in the main reflection that is detected at detector 240. In one embodiment, the developer endpoint is detected when the change in the reflected intensity measured by the detector 240 asymptotically approaches zero.

[0040] Although in some embodiments, the device features form a "grating" type diffraction pattern as a result of beam 232 striking the substrate surface, this is not to say a "diffraction grating," defined as a repetitive array of diffracting elements, either apertures or obstacles, that has the effect of producing periodic alterations in the phase, amplitude, or both of an emergent wave, is required by embodiments of the present invention. In some embodiments, a photolithographically defined diffraction grating feature may be provided on the surface of the substrate, in a more general sense, the actual structure of the various device features (e.g., submicron features) produces diffraction of light. Therefore, embodiments of the present invention include both traditional diffraction gratings as well as diffraction effects resulting from actual device features.

[0041] In one embodiment, a tunable laser is used in place of a single wavelength laser to more easily detect the change in the sharpness of the resist pattern as the develop process progresses. The amount of interference will depend on the size of the formed "grating" and the wavelength of the incident radiation. In another embodiment, a number of detectors (e.g., 240, 242, and 244) are utilized that detect the zero-order reflection as well as higher diffracted orders. As illustrated in figure 2, detector 242 detects the first-order diffracted beam at wavelength λ \ and detector 244 detects the first-order diffracted beam at wavelength λ 2 . Although two detectors 242 and 244 are illustrated for detecting the first-order diffracted beam, in alternative embodiments, a one or two-dimensional detector array, for example, a two-dimensional charge coupled device (CCD) array, is utilized to detect the first-order beam. The endpoint detection process includes monitoring the scattering/diffraction and shift in intensity of the reflected radiation for the various diffracted orders. One of ordinary skill in the art would recognize many variations, modifications, and alternatives. To prevent noise generated from the reflection of emitted radiation from the developer puddle present on

the substrate surface during the development process, a slit may be used to prevent the specular reflection from this layer from reaching the detectors.

[0042] In the above discussion, a primary diffracted order associated with multiple wavelengths was detected using multiple detectors. As one of skill in the art will appreciate from examination of the grating equation, a pattern with multiple periodicities will produce diffracted beams oriented at multiple angles even for a monochromatic source. Therefore, in some embodiments of the present invention, detectors 242 and 244 are used to detect beams diffracted at two angles from the surface of the substrate. Of course two-dimensional CCD arrays may also be utilized. One of skill in the art will understand that in general, the diffraction pattern produced in the plane of the detectors will be a function of the incident radiation spectral content, as well as the periodicities present in the patterned surface. Thus, in some embodiments, analysis functions incorporating these complexities will be provided.

[0043] In some embodiments, the substrate is spinning during the development process. Thus, in a specific embodiment of the present invention, light reflected and diffracted from the detection area is time averaged as the substrate rotates with respect to the optical beam. In this specific embodiment, a "bulk" or average measurement is made that corresponds to the portions of the substrate and device features sweeping past the optical beam as a function of time.

[0044] Figure 3 A is a flowchart illustrating a processing sequence for a semiconductor substrate according to one embodiment of the present invention. Figure 3 A illustrates one embodiment of a series of method steps 300 that may be used to deposit, expose and develop a photoresist material layer formed on a substrate surface. The lithographic process may generally contain the following: a transfer substrate to coat module step 310, a bottom anti-reflective coating (BARC) coat step 312, a post BARC bake step 314, a post BARC chill step 316, a photoresist coat step 318, a post photoresist bake step 320, a post photoresist chill step 322, an optical edge bead removal (OEBR) step 324, an exposure step 326, a post exposure bake (PEB) step 328, a post exposure bake chill step 330, a develop step 332, a post develop chill step 334 and a transfer substrate to pod step 336. In other embodiments, the sequence of the method steps 300 may be rearranged, altered, one or more steps may be removed, or

two or more steps may be combined into a single step with out varying from the basic scope of the invention.

[0045] In step 310, a semiconductor substrate is transferred to a coat module. Referring to figure 1, the step of transferring the substrate to the coat module 310 is generally defined as the process of having the front end robot 108 remove a substrate from a cassette 106 resting in one of the pod assemblies 105. A cassette 106, containing one or more substrates "W", is placed on the pod assembly 105 by the user or some external device (not shown) so that the substrates can be processed in the cluster tool 10 by a user-defined substrate processing sequence controlled by software retained in the system controller 101.

[0046] The BARC coat step 310 is a step used to deposit an organic material over a surface of the substrate. The BARC layer is typically an organic coating that is applied onto the substrate prior to the photoresist layer to absorb light that otherwise would be reflected from the surface of the substrate back into the resist during the exposure step 326 performed in the stepper/scanner 5. If these reflections are not prevented, standing waves will be established in the resist layer, which cause feature size to vary from one location to another depending on the local thickness of the resist layer. The BARC layer may also be used to level (or planarize) the substrate surface topography, which is generally present after completing multiple electronic device fabrication steps. The BARC material fills around and over the features to create a flatter surface for photoresist application and reduces local variations in resist thickness. The BARC coat step 310 is typically performed using a conventional spin-on resist dispense process in which an amount of the BARC material is deposited on the surface of the substrate while the substrate is being rotated which causes a solvent in the BARC material to evaporate and thus causes the material properties of the deposited BARC material to change. The air flow and exhaust flow rate in the BARC processing chamber is often controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface.

[0047] The post BARC bake step 314, is a step used to assure that all of the solvent is removed from the . deposited BARC layer in the BARC coat step 312, and in some cases to promote adhesion of the BARC layer to the surface of the substrate. The temperature of the post BARC bake step 314 is dependent on the type of BARC

material deposited on the surface of the substrate, but will generally be less than about 250 °C. The time required to complete the post BARC bake step 314 will depend on the temperature of the substrate during the post BARC bake step, but will generally be less than about 60 seconds.

[0048] The post BARC chill step 316, is a step used to control and assure that the time the substrate is above ambient temperature is consistent so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the BARC process time-temperature profile, which is a component of a substrates wafer history, can have an effect on the properties of the deposited film layer and thus is often controlled to minimize process variability. The post BARC chill step 316, is typically used to cool the substrate after the post BARC bake step 314 to a temperature at or near ambient temperature. The time required to complete the post BARC chill step 316 will depend on the temperature of the substrate exiting the post BARC bake step, but will generally be less than about 30 seconds.

[0049] The photoresist coat step 318, is a step used to deposit a photoresist layer over a surface of the substrate. The photoresist layer deposited during the photoresist coat step 318 is typically a light sensitive organic coating that are applied onto the substrate and is later exposed in the stepper/scanner 5 to form the patterned features on the surface of the substrate. The photoresist coat step 318 is a typically performed using conventional spin-on resist dispense process in which an amount of the photoresist material is deposited on the surface of the substrate while the substrate is being rotated which causes a solvent in the photoresist material to evaporate and thus causes the material properties of the deposited photoresist layer to change. The air flow and exhaust flow rate in the photoresist processing chamber is controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface. In some cases it may be necessary to control the partial pressure of the solvent over the substrate surface to control the vaporization of the solvent from the resist during the photoresist coat step by controlling the exhaust flow rate and/or by injecting a solvent near the substrate surface. Referring to figure 1, in an exemplary photoresist coating process, the substrate is first positioned on wafer chuck 131 in coater/developer module 370. A motor rotates the wafer chuck 131 and substrate while the photoresist is dispensed onto the center of the substrate. The rotation imparts an angular torque onto

the photoresist, which forces the photoresist out in a radial direction, to ultimately covering the substrate.

[0050] The post photoresist bake step 320, is a step used to assure that all of the solvent is removed from the deposited photoresist layer in the photoresist coat step 318, and in some cases to promote adhesion of the photoresist layer to the BARC layer. The temperature of the post photoresist bake step 320 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250 °C. The time required to complete the post photoresist bake step 320 will depend on the temperature of the substrate during the post photoresist bake step, but will generally be less than about 60 seconds.

[0051] The post photoresist chill step 322, is a step used to control the time the substrate is at a temperature above ambient temperature so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the time-temperature profile can have an effect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the post photoresist chill step 322, is thus used to cool the substrate after the post photoresist bake step 320 to a temperature at or near ambient temperature. The time required to complete the post photoresist chill step 322 will depend on the temperature of the substrate exiting the post photoresist bake step, but will generally be less than about 30 seconds.

[0052] The optical edge bead removal (OEBR) step 324, is a process used to expose the deposited light sensitive photoresist layer(s), such as, the layers formed during the photoresist coat step 318 and the BARC layer formed during the BARC coat step 312, to a radiation source (not shown) so that either or both layers can be removed from the edge of the substrate and the edge exclusion of the deposited layers can be more uniformly controlled. The wavelength and intensity of the radiation used to expose the surface of the substrate will depend on the type of BARC and photoresist layers deposited on the surface of the substrate. An OEBR tool can be purchased, for example, from USHIO America, Inc. Cypress, CA.

[0053] The exposure step 326, is a lithographic projection step applied by a lithographic projection apparatus (e.g., stepper scanner 5) to form a pattern which is used to manufacture integrated circuits (ICs). The exposure step 326 forms a circuit

pattern corresponding to an individual layer of the integrated circuit (IC) device on the substrate surface, by exposing the photosensitive materials, such as, the photoresist layer formed during the photoresist coat step 318 and the BARC layer formed during the BARC coat step 312 of some form of electromagnetic radiation.

[0054] The post exposure bake (PEB) step 328, is a step used to heat a substrate immediately after the exposure step 326 in order to stimulate diffusion of the photoactive compound(s) and reduce the effects of standing waves in the resist layer. For a chemically amplified resist, the PEB step also causes a catalyzed chemical reaction that changes the solubility of the resist. The control of the temperature during the PEB is typically critical to critical dimension (CD) control. The temperature of the PEB step 328 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250 °C. The time required to complete the PEB step 328 will depend on the temperature of the substrate during the PEB step, but will generally be less than about 60 seconds.

[0055] The post exposure bake (PEB) chill step 330, is a step used to control the assure that the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the PEB process time-temperature profile can have an effect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the PEB chill step 330, is thus used to cool the substrate after the PEB step 328 to a temperature at or near ambient temperature. The time required to complete the PEB chill step 330 will depend on the temperature of the substrate exiting the PEB step, but will generally be less than about 30 seconds.

[0056] The develop step 332, is a process in which a solvent is used to cause a chemical or physical change to the exposed or unexposed photoresist and BARC layers to expose the pattern formed during the exposure process step 326. The develop process may be a spray or immersion or puddle type process that is used to dispense the developer solvent. In some develop processes, the substrate is coated with a fluid layer, typically deionized water, prior to application of the developer solution and spun during the development process. Subsequent application of the developer solution results in uniform coating of the developer on the substrate surface. In step 334, a rinse solution

is provided to surface of the substrate, terminating the develop process. Merely by way of example, the rinse solution may be deionized water. In alternative embodiments, a rinse solution of deionized water combined with a surfactant is provided. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

[0057] In step 336, the substrate is cooled after the develop and rinse stets 332 and 334. In step 338, the substrate is transferred to the pod, thus completing the processing sequence. Transferring the substrate to the pod in step 338 generally entails the process of having the front end robot 108 return the substrate to a cassette 106 resting in one of the pod assemblies 105.

[0058] In the discussion of the previous processing sequence, transfer of the substrate from various chambers of the track lithography tool 10 to other chambers was generally omitted for purposes of clarity. One of skill in the art will appreciate the use of a number of transfer robots to accomplish the various transfers between appropriate chambers.

[0059] Figure 3B is a flowchart illustrating a method 345 of detecting developer endpoint according to one embodiment of the present invention. In step 350, a device region of a substrate is illuminated with a first optical beam. In some embodiments of the present invention, step 350 occurs prior to a current development stage of processing. Thus, for some product substrates, a pattern will be present on the device surface from previous processing steps. For substrates with previously developed patterns, step 350 occurs before development of a newly exposed pattern begins. In step 352, a baseline optical signal is detected by collecting radiation scattered from the surface of the substrate. As discussed previously, submicron patterns associated with the IC features and present on the device surface will reflect and diffract light.

Additionally, refraction of light at the interfaces between fluids present on the surface and the device features will occur, generating a reflectometry and/or scatterometry profile.

[0060] In embodiments of the present invention, the baseline optical signal detected in step 352 is collected at any one of several stages of the processing sequence 300 illustrated in figure 3 A. In some of these embodiments, the baseline optical signal is detected at stages of processing prior to the initiation of the development process in

step 332 for the particular layer being developed. Moreover, in some embodiments, the baseline optical signal is detected in one of several process chambers. For example, methods and apparatus according to embodiments of the present invention may be provided in a coat chamber, a bake chamber, and the like. Merely by way of example, the baseline optical signal may be collected:

Pre-Resist - Prior to the coating of the substrate with photoresist in step 318. The underlying pattern resulting from previous processing steps will determine the baseline signal. In embodiments utilizing collection of the baseline optical signal prior to the PR coat step 318, the substrate may be transferred to a develop module in which a developer endpoint detection system according to an embodiment of the present invention is present. Alternatively, other embodiments of the present invention will provide either a portion of a developer endpoint detection system or a complete developer endpoint detection system in the coat module in which the PR coat process is performed. Accordingly, the baseline optical signal is collected prior to the PR coat process 318.

Post-Exposure - After exposure of the photoresist pattern in the scanner in step 326. Experiments have demonstrated that a latent image is present after exposure, thereby generating a baseline signal differing from the signal collected after the photoresist coating step. An explanation for the presence of the latent image is the presence of the underlying layers produced in previous processing steps. Another explanation for the latent image is the interaction between the exposure photons and the photoresist, resulting in compositional differences in the photoresist as a function of exposure dose. Although these theories provides support for describing the embodiments of the present invention, the present invention is not limited to these explanations are limited by them. Accordingly, in some embodiments, the baseline optical signal is collected after exposure in step 326 by transferring the substrate to a module including either a portion of a developer endpoint detection system or a complete developer endpoint detection system according to an embodiment of the present invention.

After Post-Exposure Bake - After the exposed substrate is baked in step 328 to activate chemical enhancement of the exposed photoresist layer. Experiments have demonstrated that a latent image is present after the post-exposure bake (PEB) step,

thereby generating a baseline signal differing from the signal collected after the photoresist coating step. As discussed in relation to measurements made after the exposure step, the interaction of exposure photons with the optically activated photoresist is enhanced by the PEB step. Compositional differences in the exposed vs. unexposed photoresist are amplified by the bake step, resulting in additional contrast for the latent image.

After Substrate Coating - In some development processes, the substrate is coated with a fluid layer, typically deionized water, prior to application of the developer solution.

[0061] In embodiments in which the baseline signal is collected after substrate coating, the measurement is made in the developer module so that the substrate does not have to be moved between the baseline measurement and the endpoint measurement.

[0062] In step 354, the device region of the substrate is illuminated with a second optical beam. In some embodiments, the first optical beam and the second optical beam are produced by the same laser. In this case, the first and second optical beams will typically be collinear and will be sequentially projected onto the same detection area. In embodiments in which the baseline optical signal is measured after substrate coating, the substrate will generally be positioned in the same location during both the baseline measurement and the endpoint measurement. In embodiments in which the baseline measurement is made at prior stages of the exposure and development process, methods and systems are provided to orient the substrate prior to illumination, thereby enabling the system operator to produce repeatable results.

[0063] In step 356, an endpoint optical signal is detected from the device region of the substrate. As described in relation to figure 2, one or more detectors may be used in various embodiments of the present invention to detect one or more diffracted orders reflected, diffracted, and scattered off the substrate surface.

[0064] In some embodiments, the first and second optical beams will be multi- spectral beams containing a number of distinct wavelength components. In other embodiments, a tunable laser is used to generate a beam that produces a variety of different wavelengths as a function of time. In the case of a tunable laser, multiple baseline optical signal and multiple endpoint optical signals may be collected as a

function of time using the various detectors illustrated in figure 2. One of ordinary skill in the art would recognize many variations, modifications, and alternatives resulting from the combination of a tunable source, diffraction effects as a function of wavelength and 2-D CCD arrays.

[0065] In step 358, the baseline optical signal and the endpoint optical signal are compared using algorithms adapted to this comparison task. Based on the comparison step, a developer endpoint is determined in step 360. In one embodiment, the intensity, for example, of the beam 220 at detector 240 is measured during the develop process and compared to the base line measurement made using detector 240. As the develop process progresses, changes in the endpoint signal will occur. In some embodiments, the endpoint signal will change during the development process and stabilize as the developer endpoint is reached. In some embodiments, the analysis of the detected signal includes examination of the spectral content received at the detectors, while in alternative embodiments, a single wavelength is used to determine the developer endpoint.

[0066] As developer endpoint is detected, a control system (not shown) provides feedback to the develop chamber, activating the release of a rinse solution onto the substrate surface. In a specific embodiment, a rinse solution of deionized water is provided to the substrate, terminating the develop process. In alternative embodiments, a rinse solution of deionized water combined with a surfactant is provided. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

[0067] Figure 4 is a simplified schematic diagram of a developer endpoint detection system according to an alternative embodiment of the present invention. Figure 4 shares some similarities with figure 2 and for purposes of brevity, the description provided, with reference to figure 2 will suffice to describe elements of figure 4. In figure 4, optical source 430, which may be a single frequency or tunable laser, produces optical beam 432, which is directed toward the surface of the substrate 412. The substrate is supported on chuck 410.

[0068] As illustrated in figure 4, a developer puddle 416 is illustrated on the surface of the substrate and intermingled with the device features 414. Although the developer puddle 416 illustrated in figure 4 is at a level below the upper surfaces of the device

features, this is not required by the present invention. As will be evident to one of skill in the art, embodiments of the present invention may be used with developer puddles thicker than the device features being developed. Optical beam 432 impinges on the surface of the device features and the developer puddle and is reflected off the interfaces defining the boundaries of the device features and the developer puddle.

[0069] Additionally, the beam is refracted as it enters the developer puddle, subsequently reflecting off device features submerged under the developer puddle and refracting at the developer puddle / air interface. Moreover, the beam is diffracted by features on the order of the wavelength of the optical beam. For many sub-micron device features, significant diffraction of the beam results. In figure 4, these complex optical processes are represented by beams 420, 422, and 424. One of ordinary skill in the art would recognize that scattering of the beam as a result of diffuse reflection off the surface will generally result in a cone of scattered radiation, depending on the proportions of specular and diffuse reflection. Additionally, multiple reflections off layers and interfaces will generate interference patterns and other optical phenomena. For purposes of clarity in the illustration, these effects are incorporated into the simple beam 420, although it is appreciated that an optical system (not shown) is generally utilized to collect, collimate, and/or image the radiation reflected from the substrate surface onto the detectors 440, 442, and 444.

[0070] Figure 4 also illustrates a second laser 460, beamsplitters 462, and detector 464. In some embodiments, the second laser 460 generates a beam that propagates along a line normal to the surface of the substrate 412, impinging on the detection area 470. As described below, the second laser, beamsplitters, and detector 464 are utilized to actively control for variation in the developer fluid surface. In some embodiments, external vibrations and other effects introduce perturbations in the surface of the developer fluid, locally modifying the surface of the developer from the desired planar surface parallel to the surface of the substrate. Using the system illustrated in figure 4, variations in the surface of the developer fluid will cause the beam reflected along path 466 to depart from a line collinear with the incident laser beam from laser 460. For example, if the developer surface tilts to the right, the reflected beam will veer to the right of the line normal to the developer surface, producing a detected spot above the line drawn between the beamsplitter 462 and the detector 464. Measurement of this beam deflection will be used to drive active mirrors, as described below.

[0071] Active mirrors 450, 452, and 454 are provided in the system illustrated in figure 4 and serve to correct for local modifications of the developer fluid surface. As variations in the developer surface are measured in the form of beam deflections at detector 464, a control system (not shown) provides inputs to actuate active mirrors 450, 452, and 454, thereby counteracting tilting of beams 420, 422, and 424 as a result of the location modifications of the developer surface.

[0072] In a specific embodiment, the detector 464 is a two-dimensional CCD array that monitors beam deflections in directions lying in the plane of the substrate. The active mirrors can be small and compact, such as those used on the micromirror chip available from Texas Instruments, Inc., of Dallas, Texas. For purposes of clarity, the active mirrors are shown an widely separated in figure 4, but one of skill in the art will appreciate that an array of mirrors, coupled to an array of detectors may be used according to embodiments of the present invention.

[0073] In alternative embodiments, a Fresnel lens (not shown) is utilized in the optical path between the substrate surface and the detectors 440, 442, and 444. In some embodiments, the Fresnel lens is selected because generally, Fresnel lenses are fast (low ratio of focal length to diameter) and thin in comparison to spherical lenses of the same diameter. The use of a lens in this optical path may provide for focusing of light onto the detectors, increasing the optical throughput of the system and enhancing system performance.

Chuck For Semiconductor Workpiece

[0074] As described above, the track tool may include a bake module 90. This bake module may be employed to perform one or more steps of heating the semiconductor workpiece being processed. For example, the bake module may be used in the "Post BARC Bake", the "Post PR Bake", or the post-exposure bake (PEB) steps.

[0075] In order to prevent the occurrence of significant temperature nonuniformities on the wafer or workpiece during temperature transients resulting from baking and post-bake cooling, the thickness of the thermal gas gap separating the wafer from the underlying heater, should be maintained extremely uniform.

[0076] Accordingly, embodiments of the present invention employ a chuck featuring integrated resistive heating and electrostatic chucking elements positioned on a thermal

pedestal. These integrated heating and chucking elements maintain wafer flatness, as well as uniformity of an underlying gap accommodating a thermal gas between the workpiece and the chuck. In accordance with one embodiment of the present invention, a wafer heater laminated with KAPTON™ is attached to the top of the thermal surface, under the wafer: At least two electrical voltage zones are isolated within the heater, in order to create a chucking force between the heater element and wafer without contacting the wafer with an electrical conductor. These voltage zones can be created by using separate conducting elements as well as by imposing a DC bias on zones including the resistive heating elements.

[0077] Figure 5 is a simplified cross-sectional view of an embodiment of an apparatus in accordance with the present invention. Specifically, electrostatic chuck 500 is utilized to secure a workpiece W for processing within chamber 502. Electrostatic chuck 500 comprises electrodes 504 and 506 covered by an insulator or dielectric layer 508. In accordance with one specific embodiment of the present invention, electrodes 504 and 506 may comprise copper metal, and dielectric layer 508 may comprise KAPTON™.

[0078] As shown in Figure 5, the upper surface scan of chuck 500 further includes raised stand-off features 510 configured to maintain workpiece W over chuck 500 separated by a thermal gas gap 512. Typically, thermal gas gap 512 has a width of about 100 μm or less, sufficient to allow circulation of thermal gases under the wafer to uniformly transfer thermal energy to and from underlying chuck. In accordance with one embodiment of the present invention, it has been found that about seventeen (17) stand-off supports are optimally used to support the workpiece over the surface of the chuck, as determined by finite element analysis.

[0079] When electrodes 504 and 506 of the chuck 500 are electrically biased with respect to one another, an attractive electrostatic force is generated that binds the workpiece to the chuck. Specifically, Figures 6a-b present greatly simplified schematic views illustrating the attractive force generated by application of a potential difference between the bipolar electrodes of the workpiece support. Figure 6a shows workpiece W resting on chuck 500, with no potential difference applied between electrodes 504 and 506. Charge is evenly distributed on workpiece W, and no electrostatic attractive force is present between workpiece W and underlying chuck 500.

[0080] Figure 6b shows the subsequent application of a potential difference between electrodes 504 and 506 from power source 520. As a result of the application of this potential difference, electrodes 504 and 506 become oppositely charged. Moreover, charge present in workpiece W is redistributed under the influence of charged electrodes 504 and 506. Specifically, charge of the opposite type is attracted to regions of the workpiece proximate to the respective electrodes. These charge differences in turn give rise to an electrostatic attraction between workpiece W and chuck 500. In accordance with one embodiment of the present invention, it has been found that application of a potential difference of between about 800-1200 V to a pair of copper electrodes provides sufficient electrostatic attractive force to secure a workpiece having a diameter of 300 mm to the chuck, maintaining a thermal gas gap a uniform precise distance of 100 μm.

[0081] With reference to Figure 6b, it is important to note that workpiece W as a whole remains electrically neutral during the electrostatic chucking process. Therefore, there is no need to place the workpiece into contact with an electrical conductor in order to maintain charge neutrality during chucking.

[0082] Returning to Figure 5, electrostatic chuck 500 also comprises resistive heating element 522 underlying electrodes 504 and 506. Heating element 522 is formed from an electrically conducting material, and are configured to generate heat in response to the passage of current. Such current is induced by placing terminals 522a and 522b of resistive heating element in electrical communication with source 524 of a potential difference. In accordance with one embodiment of the present invention, heating element 522 may be comprised of a high resistance material such as INCONEL™.

[0083] Electrostatic heating elements are embedded within, and separated from the overlying electrodes by, dielectric material 508. In accordance with one embodiment of the present invention, the electrodes and the resistive heating elements may be electrically isolated from one another, and feature separate terminals for operating under the influence of different (or the same) potential differences. Such an embodiment offers the advantage of greater flexibility, with electrostatic chucking functionality entirely decoupled from heating.

[0084] Cooling of semiconductor workpiece W is accomplished by supporting chuck 500 on the surface of thermal pedestal 526 defining an internal channels 528. Channels

528 are in fluid communication with heat transfer circulator 532, and are configured to circulate a heat control fluid 530 such as air, water, or helium through pedestal 526. Fluid 530 absorbs heat from pedestal 526, and its circulation then allows for replacement with cooler fluid.

[0085] Operation of chuck 500 is now described below in connection with the simplified timing diagram of Figure 7. During a first period 700 prior to introduction of the workpiece into chamber, heat control fluid is actively circulated through the channels of the thermal pedestal. No current is flowed through resistive heater element at this time. As a result, the pedestal and chuck are maintained at a constant temperature.

[0086] At time Tl, a robot arm (not shown) transports the workpiece into the chamber. A lift finger assembly has lift fingers that are elevated through the chuck by a pneumatic lift mechanism. The robot arm places the substrate on the tips of the lift fingers, and the pneumatic lift mechanism, under the control of a computer system, lowers the workpiece onto the chuck. At this point the workpiece is in contact with raised set-off features, and is separated chuck surface by the thermal gas gap.

[0087] Once the workpiece has been placed on the chuck, the electrodes of the chuck are electrically biased with respect to one another by a chuck voltage supply to electrostatically secure the workpiece. Also at time Tl, circulation of the thermal control fluid through the pedestal is halted. In this manner, the chucked wafer and the chuck are at substantially the same temperature.

[0088] Once the wafer has been secured onto the chuck at time Tl, at a subsequent time T2, current is flowed through the heating element to cause resistive heating. As shown in Figure 5, the chamber includes a temperature sensor 590 positioned above the surface of the chuck to monitor temperature. Several designs of temperature sensors may be employed. One temperature sensor design is described in detail in published U.S. patent application no. 2003/0209773, coassigned with the instant application and incorporated herein by reference for all purposes.

[0089] Power is applied to the resistive heating elements until a target temperature is reached. At time T3, the supply of power to the heating elements is ceased, as is the supply of power to the chucking elements. The processed workpiece may then be removed from the chuck and from the chamber.

[0090] Figure 7 presents a greatly simplified view of the sequence of events of one embodiment of an application of the present invention, and variations will be recognized. For example, the temperature of the wafer and the chuck may be maintained at a target temperature for a period of time prior to removal of the wafer from the chamber. Such temperature regulation can occur, for example, through a feedback mechanism utilizing the heating elements to apply thermal energy, and circulation of fluid through the channels of the thermal pedestal to remove thermal energy. In accordance with still another approach, the processed workpiece could be allowed to cool on the chuck for a period prior to removal from the chamber.

[0091] Figure 8 presents a detailed perspective view of an embodiment of a chuck apparatus in accordance with the present invention. Chuck 800 includes upper dielectric surface 802 having a diameter d slightly greater than that of the expected workpiece. Upper dielectric surface 802 bears a plurality of raised stand-off features 804, typically having a height of 100 μm or less. Bipolar electrode pair 806a and 806b underlie dielectric surface 802. Resistive heating element 808 in turn underlies bipolar electrode pair 806a and 806b.

[0092] Chuck 800 further includes a peripheral portion 810 including a secondary heating element 812. Secondary heating element 812 can be separately controllable to counteract thermal effects occurring at the edge of the wafer, in order to ensure temperature uniformity across the entire diameter of the wafer.

[0093] The examples and embodiments described herein are for illustrative purposes only. Various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims. It is not intended that the invention be limited, except as indicated by the appended claims.