Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ETCH RATE ENHANCEMENT FOR A SILICON ETCH PROCESS THROUGH ETCH CHAMBER PRETREATMENT
Document Type and Number:
WIPO Patent Application WO/2015/166368
Kind Code:
A1
Abstract:
Pretreatment of an etch chamber for performing a silicon etch process and Bosch process can be effected by running a deposition process employing C5HF7, or by running an alternating deposition and etch process employing C5H2F6 and SF6. It has been discovered that the pretreatment of the etch chamber for the silicon etch process can enhance the etch rate of silicon by at least 50% without adverse effect on etch profile during a first each process following the pretreatment, while the etch rate enhancement factor decreases over time. By periodically performing the pretreatment in the etch chamber, the throughput of the etch chamber can be increased without adversely impacting the etch profile of the processed substrates.

Inventors:
JOSEPH ERIC ANDREW (US)
BANG TO (US)
SIKORSKI EDMUND (US)
NAKAMURA MASAHIRO (US)
MATSUURA GOH (JP)
Application Number:
PCT/IB2015/052736
Publication Date:
November 05, 2015
Filing Date:
April 15, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
IBM (US)
ZEON CORP (JP)
IBM UK (GB)
IBM JAPAN (JP)
International Classes:
H01L21/3065
Foreign References:
JP2008270348A2008-11-06
JP2008300616A2008-12-11
JP2009206444A2009-09-10
US20060180571A12006-08-17
JPH0684851A1994-03-25
Attorney, Agent or Firm:
GRAHAM, Timothy (Intellectual Property LawHursley Park, Winchester Hampshire SO21 2JN, GB)
Download PDF:
Claims:
CLAIMS

1. A method of operating an etch process apparatus comprising:

performing a pretreatment process in an etch process apparatus, said pretreatment process including coating inner walls of said etch process apparatus by depositing a hydrofluorocarbon polymer material generated from a plasma of a hydrofluorocarbon gas having a composition of CxHyFz, wherein x is an integer selected from 3, 4, 5, 6, and 7, y and z are positive integer not greater than 15, and wherein a coating of said hydrofluorocarbon polymer material is formed on said inner walls of said etch process apparatus; and

performing at least one Silicon etching process in said etch process apparatus on at least one substrate, each of said at least one substrate including a patterned mask layer through which at least one semiconductor material portion is physically exposed.

2. The method of Claim 1, wherein a dummy substrate is loaded into said etch process apparatus during said pretreatment process, and is subsequently removed prior to performing said at least one Silicon etching process.

3. The method of Claim 2, wherein said dummy substrate comprises a mask layer located on a semiconductor layer, said mask layer including a dielectric material selected from doped silicon oxide, undoped silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, or a combination thereof.

4. The method of any of the preceding Claims, wherein said pretreatment process of said inner walls of said etch process apparatus increases an etch rate of said at least one Silicon etch process by a positive percentage, said positive percentage being at least 10 % during a first Silicon etch process that is performed after said pretreatment process relative a comparative Silicon etch process employing a same processing conditions as said first Silicon etch process and performed in an etch process apparatus without any pretreatment.

5. The method of Claim 4, wherein said etch rate of said first Silicon etch process increases by at least 50 % relative to said comparative Silicon etch process.

6. The method of either of Claims 4 or 5, wherein said percentage monotonically decreases with a total run time of said silicon etch process within said etch process apparatus.

7. The method of any of the preceding Claims, wherein said hydrofluorocarbon polymer material includes carbon at an atomic concentration in a range from 35 % to 100 % and fluorine at an atomic concentration from 0 % to 65 %.

8. The method of any of the preceding Claims, further comprising repeatedly performing said pretreatment process and said at least one silicon etch process.

9. The method of any of the preceding Claims, wherein said at least one silicon etch process performed on said at least one substrate is a plurality of silicon etch processes sequentially performed on a plurality of substrates.

10. The method of any of the preceding Claims, wherein said Silicon etch process employs a plasma of a fluorine-containing etchant.

11. The method of Claim 10, wherein said Silicon etch process employs SF6 as said etchant.

12. The method of Claim 10, wherein said Silicon etch process is Bosch process that employs SF6 as an etchant and C4F8 as a passivation gas.

13. The method of any of the preceding Claims, wherein said hydrofluorocarbon gas is selected from C5H2F6 and C5FIF7.

14. The method of Claim 13, wherein said hydrofluorocarbon gas is C5FIF7, and said pretreatment process does not employ any gas that etches a semiconductor material.

15. The method of Claim 1, wherein a dummy substrate is loaded into said etch process apparatus during said pretreatment process with hydrofluorocarbon gas, and said pretreatment process further comprises performing an etch process with etching gas that etches a semiconductor material portion of said dummy substrate.

16. The method of Claim 15, further comprising performing a plurality of cycles of said process with said hydrofluorocarbon gas and said etch process during said pretreatment process.

17. The method of Claim 15, wherein said hydrofluorocarbon gas is C5H2F6.

18. The method of Claim 15, wherein said etching gas is SF6.

19. The method of Claim 15, wherein said hydrofluorocarbon gas is C5H2F6 and said etching gas is SF6.

20. The method of any of the preceding Claims, wherein each of said at least one substrate comprises a mask layer located on a semiconductor layer, said mask layer including a dielectric material selected from doped silicon oxide, undoped silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, or a combination thereof, and wherein said semiconductor layer comprises silicon or a semiconductor alloy including silicon.

Description:
ETCH RATE ENHANCEMENT FOR A SILICON ETCH PROCESS

THROUGH ETCH CHAMBER PRETREATMENT

TECHNICAL FIELD

[0001] The present disclosure relates to semiconductor processing methods, and particularly to a method of enhancing the etch rate of a silicon etch process through etch chamber pretreatment.

BACKGROUND ART

[0002] High aspect ratio deep silicon etch techniques are a key enabling technology for implementing through silicon via structures and three-dimensional integration of multiple semiconductor substrates. State of the art deep silicon etch utilizes a time modulated etch process, which is typically referred to as a "Bosch" process.

[0003] The Bosch process employs alternating cycles of etching employing a SF 6 gas and polymer deposition employing a passivation gas such as C 4 F 8 , which is a fluorocarbon gas that does not include hydrogen. The Bosch process provides a reasonable level of anisotropy required for forming high aspect ratio structures. However, the Bosch process, as known in the art, has several limitations. Attempts to increase the etch rate of the Bosch process results in roughening of sidewalls and the bottom surface of the trench due to reduction in the thickness of the passivation layer. Further, sidewall scalloping and increased undercut in the trench structure accompanies attempts to increase the etch rate of the Bosch process.

[0004] In an alternative to the Bosch process, Cryogenic process or Non switching process, known as namely Non Bosch process is proposed. The feature of Non Bosch process is that etching and passivation are carried out in a continuous process until the entire silicon feature is etched, which enables to etch silicon features without scalloped sidewall. The etching gas for non-Bosch processes is SF 6 , and passivation gases are usually 0 2 or HBr or fluorocarbon gas such as C 4 F 8 or hydro fluorocarbon gas such as CH3F, CHF3. For example, when using 02 as the passivation gas, a layer of SiO x F y wherein x and y is a positive integer is formed on the sidewall of the silicon feature under around -100 degrees Centigrade, which is more resistant to etch by SF 6 than the silicon substrate.

[0005] Disadvantages of Non Bosch process are lower etch rate, temperature control issue and narrow process control margin rather than Bosch process. Attempts to increase the etch rate of the Non Bosch process results in roughening of sidewalls and the bottom surface of the trench due to reduction in the thickness of the passivation layer as well as Bosch process.

[0006] Thus, the etch rate of the Bosch or Non Bosch process as known in the art cannot be increased without deleterious effect on the etch profile of the trenches formed by the Bosch or Non Bosch process. The requirement of smooth sidewalls and bottom surfaces as well as controlled undercut in the profile of the trenches limits the etch rate of the Bosch process, and in turn, limits the throughput of etch chambers that perform the Bosch or Non Bosch process. The productivity of etch chambers performing the Bosch or Non Bosch process is thus limited by the etch rate of the Bosch process.

[0007] Therefore, there is a need in the art to address the aforementioned problem. SUMMARY

[0008] Viewed from a first aspect, the present invention provides a method of operating an etch process apparatus comprising: performing a pretreatment process in an etch process apparatus, said pretreatment process including coating inner walls of said etch process apparatus by depositing a hydrofluorocarbon polymer material generated from a plasma of a hydrofluorocarbon gas having a composition of C x H y F z , wherein x is an integer selected from 3, 4, 5, 6, and 7, y and z are positive integer not greater than 15, and wherein a coating of said hydrofluorocarbon polymer material is formed on said inner walls of said etch process apparatus; and performing at least one Silicon etching process in said etch process apparatus on at least one substrate, each of said at least one substrate including a patterned mask layer through which at least one semiconductor material portion is physically exposed. Pretreatment of an etch chamber for performing a silicon etching employing SF 6 as an etchant gas can be effected by running a deposition process employing C5HF7, or by running an alternating deposition and etch process employing C5H2F6 and SF 6 . It has been discovered that the pretreatment of the etch chamber for the silicon etch process can enhance the etch rate by at least 50 % without adverse effect on isotropic profile during a first silicon etch process following the pretreatment.

[0009] As another aspect of the present disclosure, pretreatment of an etch chamber for performing a Bosch process can be effected by running a deposition process employing C5HF7, or by running an alternating deposition and etch process employing C5H2F6 and SF 6 . It has been discovered that the pretreatment of the etch chamber for the Bosch process can enhance the etch rate by at least 50 % without adverse effect on etch profile during a first Bosch process following the pretreatment, while the etch rate enhancement factor decreases over time. By periodically performing the pretreatment in the etch chamber, the throughput of the etch chamber can be increased without adversely impacting the etch profile of the processed substrates.

[0010] According to an aspect of the present disclosure, a method of operating an etch process apparatus is provided. A pretreatment process in an etch process apparatus is performed. The pretreatment process includes pretreating inner walls of the etch process apparatus by depositing a hydrofluorocarbon polymer material generated from a plasma of a hydrofluorocarbon gas having a composition of C x H y F z , wherein x is an integer selected from 3, 4, 5, 6, and 7, y is a positive integer, and z is greater than the sum of x and y. A coating of the hydrofluorocarbon polymer material is formed on the inner walls of the etch process apparatus during the pretreatment process. The Silicon etch process employing SF 6 as an etchant gas or Bosch process can be subsequently performed on at least one substrate within the etch process apparatus. Each of the at least one substrate includes a patterned mask layer through which at least one semiconductor material portion is physically exposed.

BRIEF DESCRIPTION OF THE DRAWINGS

[0011] The present invention will now be described, by way of example only, with reference to preferred embodiments, as illustrated in the following figures: FIG. 1 is a vertical cross-sectional view of an exemplary etch process apparatus according to an embodiment of the present disclosure;

FIG. 2 is a schematic illustrating the gas flow rate for a hydrofluorocarbon gas into the exemplary etch process apparatus according to a first embodiment of the present disclosure;

FIG. 3 is a schematic illustrating the gas flow rate for a hydrofluorocarbon gas and an etchant gas into the exemplary etch process apparatus according to a second embodiment of the present disclosure;

FIG. 4A - 4L are sequential schematic vertical cross-sectional views of an exemplary structure in which a through substrate via structure is formed by filling a deep trench formed by a method;

FIG. 5 is a schematic vertical cross-sectional view of a substrate including a deep trench formed by a silicon etch process employing SF 6 as an etchant gas or a Bosch process according to an embodiment of the present disclosure;

FIG. 6 is a graph comparing performance of a Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as a hydrofluorocarbon gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning according to an embodiment of the present disclosure;

FIG. 7 is another graph comparing performance of a Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as a hydrofluorocarbon gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning according to an embodiment of the present disclosure;

FIG. 8 is a graph illustrating the dependence of the etch rate of a Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as a hydrofluorocarbon gas on the total cumulative Bosch process time in the etch process apparatus according to an embodiment of the present disclosure;

FIG. 9 is a graph comparing performance of a Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning according to an embodiment of the present disclosure; FIG. 10 is another graph comparing performance of a Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning according to an embodiment of the present disclosure;

FIG. 11 is a graph illustrating the dependence of the etch rate of a Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas on the total cumulative Bosch process time in the etch process apparatus according to an embodiment of the present disclosure;

FIG.12 is a graph comparing performance of a silicon etch process employing SF 6 in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas, and performance of a comparative silicon etch process in a comparative exemplary etch process apparatus without any preconditioning according to an embodiment of the present disclosure;

FIG.13 is an another graph comparing performance of a silicon etch process employing SF 6 in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas, and performance of a comparative silicon etch process in a comparative exemplary etch process apparatus without any preconditioning according to an embodiment of the present disclosure; and

FIG. 14 is a histogram comparing the process throughput of an etch process apparatus under various operating modes.

DETAILED DESCRIPTION

[0012] As stated above, the present disclosure relates to methods for anisotropically etching a high aspect ratio trench in a semiconductor substrate while minimizing an undercut, and structures for effecting the same, which are now described in detail with accompanying figures. Throughout the drawings, the same reference numerals or letters are used to designate like or equivalent elements. The drawings are not necessarily drawn to scale.

[0013] Referring to FIG. 1, an exemplary etch process apparatus that can be employed to practice embodiments of the present disclosure is illustrated. The exemplary etch process apparatus includes a vacuum enclosure (102, 103, 104) that defines an enclosed space capable of reaching a vacuum environment through a vacuum pumping line 114. The vacuum enclosure (102, 103, 104) can include chamber walls 102, a chamber slit door 103, and a chamber door hinge 104 about which the chamber slit door can rotate. Any other mechanism for moving the chamber slit door 103 may be employed in lieu of the chamber door hinge 104.

[0014] The exemplary etch process apparatus includes an upper electrode 120 and a lower electrode 130 that are spaced by a plasma region 101 in which plasma of a gas can be generated as needed. The lower electrode 130 can be electrically grounded to the vacuum enclosure (102, 103, 104) through an electrical connection structure 132 electrically isolated by an insulator structure 133. The upper electrode 120 can be electrically connected to a radio frequency (RF) signal generator 124 through a feedthrough wire 122 that is electrically isolated from the vacuum enclosure (102, 103, 104) by another insulator structure 123.

[0015] Gas distribution manifolds (112A, 112B) and gas feedthrough tubes (114A, 114B) can be provided in any configuration known in the art to provide process gases into the etch process apparatus. A substrate (140, 142) including a mask layer 142 located on a semiconductor layer 140 can be provided. The mask layer 142 can a dielectric material selected from doped silicon oxide, undoped silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, or a combination thereof. The substrate (140, 142) can be a substrate that is subsequently processed in other processing tools to form semiconductor structures thereupon or therein, or can be a dummy substrate that is not subsequently processed to form semiconductor devices.

[0016] In one embodiment, the exemplary etch process apparatus can be any etch chamber configured to perform a silicon etch process employing SF 6 as etchant gas and configured to flow at least one process gas necessary for a pretreatment process of an embodiment of the present disclosure.

[0017] In another embodiment, the exemplary etch process apparatus can be any etch chamber configured to perform a Bosch process and configured to flow at least one process gas necessary for a pretreatment process of an embodiment of the present disclosure. As used herein, a Bosch process refers to an etch process in which an etchant gas and a passivation gas are cyclically flowed into a process chamber for a multiple number of cycles to etch a trench in a substrate.

[0018] The pretreatment process of embodiments of the present disclosure is performed within the exemplary etch process apparatus prior to performing at least one silicon etch process employing SF 6 as an etchant or Bosch process. The pretreatment process includes pretreating inner walls of the exemplary etch process apparatus by depositing a

hydrofluorocarbon polymer material generated from a plasma of a hydrofluorocarbon gas. The hydrofluorocarbon gas has a composition of C x H y F z , in which x is an integer selected from 3, 4, 5, 6, and 7, y and z are positive integer not greater than 15. For example the hydrofluorocarbon gas can include [C3] 1,1 -difluoropropene, 1,2-difluoropropene, 1,3- difluoropropene, 2,3-difluoropropene, 3,3-difluoropropene, 1,1 -difluorocycropropane, 1,2- difluorocycropropane, 1, 1,2-trifluoropropene, 1,1,3-trifluoropropene, 1,2,3-trifluoropropene, 1,3,3-trifluoropropene, 2,3,3-trifluoropropene, 3,3,3-trifluoropropene, 1, 1,2- trifluorocycropropane, 1,2,3-trifluorocycropropane, 1, 1,2,3-tetrafluoropropene, 1,1,3,3- tetrafluoropropene, 1,2,3, 3-tetrafluoropropene, 1,3,3,3-tetrafluoropropene, 2,3,3,3- tetrafluoropropene, 1,1,2,2-tetrafluorocycropropane, 1, 1,2,3-tetrafluorocycropropane, 1,3- difluoropropyne, 3,3-difluoropropyne, 1,2-difluoropropadiene, 1,3-difluoropropadiene, 1,3,3-trifluoropropyne, 3,3,3-trifluoropropyne, 1, 1,3-trifluoropropadiene, [C4] 3,3,4,4- tetrafluorocycrobutene, 1 ,3 ,3 ,4,4-pentafluorocycrobutene, 1 , 1 ,2,2,3,4- hexafluorocycrobutane, 1,1, 2,2,3 -pentafluorocycrobutane, 1, 1,2,2,3,3,4- heptafluorocycrobutane, 1, 1, l,4,4,4-hexafluoro-2-butene, 3,3,3-trifluoro-2- trifluoromethylpropene, 1 , 1 ,2,3 ,4-pentafluoro- 1 ,3-butadiene, 1 , 1 ,2,4,4-pentafluoro- 1,3- butadiene, l, l,2,3-tetrafluoro-l,3-butadiene, l, l,2,4-tetrafluoro-l,3-butadiene, 1, 1,3,4- tetrafluoro- 1 ,3 -butadiene, 1 ,2,3 ,4-tetrafluoro- 1 ,3-butadiene, 1 , 1 ,4,4-tetrafluoro- 1 ,3- butadiene, l, l,2-trifluoro-l,3-butadiene, l, l,3-trifluoro-l,3-butadiene, l, l,4-trifluoro-l,3- butadiene, l,2,3-trifluoro-l,3-butadiene, l,2,4-trifluoro-l,3-butadiene, 1, 1,2,3 -tetrafluoro-1- butene, 1,1,2,4-tetrafluoro-l-butene, 1, 1,3,3-tetrafluoro-l-butene, 1,1,3,4-tetrafluoro-l- butene, 1,1,4,4-tetrafluoro-l-butene, 1,2,3,3-tetrafluoro-l-butene, 1,2,3,4-tetrafluoro-l- butene, 1,2,4,4-tetrafluoro-l-butene, 1,3,3,4-tetrafluoro-l-butene, 1,3,4,4-tetrafluoro-l- butene, 1,4,4,4-tetrafluoro-l-butene, 2,3,3,4-tetrafluoro-l-butene, 2,3,4,4-tetrafluoro-l- butene, 2,4,4,4-tetrafluoro-l -butene, 3,3,4,4-tetrafluoro-l-butene, 3,4,4,4-tetrafluoro-l- butene, l,l, l,2-tetrafluoro-2-butene, l, l,l,3-tetrafluoro-2-butene, l,l, l,4-tetrafluoro-2- butene, l,l,2,3-tetrafluoro-2-butene, l, l,2,4-tetrafluoro-2-butene, l,l,3,4-tetrafluoro-2- butene, l,l,4,4-tetrafluoro-2-butene, l,2,3,4-tetrafluoro-2-butene, l,l,3,3-tetrafluoro-2- methylpropane, 1, l,3-trifluoro-2-fluoromethylpropane, l,3,3-trifluoro-2- fluoromethylpropane, 3,3-difluoro-2-difluoromethylpropane, 1,1,2,2-tetrafluorocycrobutane, 1,1,2,3 -tetrafluorocycrobutane, 1, 1, 3 ,3 -tetrafluorocy crobutane, 1,2,3,4- tetrafluorocycrobutane, 1 -fluoro- 1 -trifluoromethylcycropropane, 2-fluoro- 1 - trifluoromethylcycropropane, 1 , 1 -difluoro- 1 -difluoromethylcycropropane, 1 ,2-difluoro- 1 - difluoromethylcycropropane, 2,2-difluoro-l -difluoromethylcycropropane, 2,3 -difluoro- 1- difluoromethylcycropropane, 1 ,2,2-trifluoro- 1 -fluoromethylcycropropane, 1 ,2,3 -trifluoro- 1 - fluoromethylcycropropane, 2,2,3-trifluoro-l-fluoromethylcycropropane, 1, 2,2,3 -tetrafluoro- 1-methylcycropropane, 2,2,3, 3-tetrafluoro-l-methylcycropropane, [C5] 1,3,3,4,4,5,5- heptafluorocycropentene, 3,3,4,4,5,5-hexafluorocycropentene, 1, 1,2,2,5,5- hexafluorocycropentane, l,l, l,3,4,4,5,5,5-nonafluoro-2-pentene, 1,1,1,2,4,4,5,5,5- nonafluoro-2-pentene, 2,3,4,5,5 , 5-hexafluoro- 1 ,3 -pentadiene, 1,3,4,5,5,5 -hexafluoro- 1,3- pentadiene, 1,2,4,5, 5, 5-hexafluoro-l,3-pentadiene, l,2,3,5,5,5-hexafluoro-l,3-pentadiene, 1,2,3, 4,5, 5 -hexafluoro- 1,3 -pentadiene, l,2,4,5,5,5-hexafluoro-l,3-pentadiene, 1, 1,2,3,4,- pentafluoro-l,3-pentadiene, 3,4,5,5,5-pentafluoro-l,3-pentadiene, l,2,3,3,4-pentafluoro-l,4- pentadiene, 1,1,2,3,3 -pentafluoro- 1 ,4-pentadiene, 1 , 3 ,3 ,4,4-pentafluoro-2- methylcycrobutene, 2-fluoro- 1-trifluoromethylcycrobutene, 3,3,4,4-tetrafluoro-l- trifluoromethylcycrobutene, [C6] 1,3, 3,4,4,5, 5, 6,6-nonafluorocycrohexene, 3,3,4,4,5,5,6,6- octafluorocycrohexene, 1,1,3,3 -tetrafluoro-2-trifluoromethylcy cropentane, 4,5,5,5- tetrafluoro-3-trifluoromethy 1-1, 3 -pentadiene, l, l,2,4,5,5,5-heptafluoro-3-methyl-l,3- pentadiene, l,2-bis(trifluoromethyl)cycrobutane, l,2-bis(trifluoromethyl)cycrobutene, 3,4- bis(trifluoromethyl)cycrobutene, 1, 1,1 -trifluoro-2-trifluoromethyl-3 -methyl-2-butene, 1,1, 1- trifluoro-3 -trifluoromethyl-2-methyl-2-butene, 1,1,1, -trifluoro-3 -trifluoromethyl-2-pentene, 4,4,5,5,5-pentafluoro-3-methyl-2-pentene, [7] 1,3,3,4,4,5,5,6,6,7,7- undecafluorocycroheptene, 3,3,4,4,5,5,6,6,7,7-decafluorocycroheptene, 1,3,3,4,4,5,5- heptafluoro-2-ethylcycropentene, 3,3,4,4,5,5-hexafluoro-l,2-dimethylcycropentene and 1,2- bis(fluoromethyl)cycropentene, but these are non-limiting examples of specific embodiments of the present disclosure. In one embodiment, the hydrofluorocarbon gas is 1,3,3,4,4,5,5- heptafluorocycropentene as C5HF7 and 3, 3,4,4,5, 5-hexafluorocycropentene as C5H2F6. A coating of the hydrofluorocarbon polymer material is formed on the inner walls of the exemplary etch process apparatus. The thickness of the coating can be, for example, in a range from 1 nm to 10 microns.

[0019] In one embodiment, a dummy substrate can be loaded into the exemplary etch process apparatus during the pretreatment process. The dummy substrate can be

subsequently removed prior to performing the at least one silicon etch process employing SF 6 as an etchant or Bosch process. In one embodiment, the dummy substrate can be a substrate (140, 142) illustrated in FIG. 1, which includes a mask layer 142 located on a semiconductor layer 140. As discussed above, the mask layer 142 can include a dielectric material selected from doped silicon oxide, undoped silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, or a combination thereof.

[0020] Referring to FIG. 2, a gas flow schematic illustrates the gas flow rate for a hydrofluorocarbon gas that is flowed into the exemplary etch process apparatus according to a first embodiment of the present disclosure. The hydrofluorocarbon gas is flowed into the exemplary etch process apparatus at a flow rate represented by a flow rate curve 520. The pretreatment includes a deposition step, during which the flow rate of the hydrofluorocarbon gas is ramped up from zero to a target flow rate, maintained at the target flow rate, and is subsequently ramped down from the target flow rate to zero. The duration of the deposition step is herein referred to as a precoating time t _pc. A plasma of the hydrofluorocarbon gas is generated within the exemplary etch process apparatus for the duration of the flow of the hydrofluorocarbon gas, which can be in a range from 2 minutes to 60 minutes. In one embodiment, the duration of the deposition step can be in a range from 3 minutes to 30 minutes.

[0021] In one embodiment, the hydrofluorocarbon gas is C5HF7, and the pretreatment process does not employ any gas that etches a semiconductor material portion on dummy substrate. Thus, the duration of the pretreatment process can be the same as the duration of the deposition step. The target flow rate of the hydrofluorocarbon gas depends on the volume of the exemplary etch process apparatus. For an etch process apparatus configured to process a circular substrate having a diameter of 300 mm, the target flow rate of the hydrofluorocarbon gas can be in a range from 100 standard cubic centimeters per minute (seem) to 200 seem, although lesser and greater target flow rates can also be employed. The RF power applied to the exemplary etch process chamber to generate a plasma of the hydrofluorocarbon gas depends on the volume of the exemplary etch process apparatus. For an etch process apparatus configured to process a circular substrate having a diameter of 300 mm, the RF power can be in a range from 500 Watts to 2,000 Watts, although lesser and greater RF powers can also be employed. The pressure of the exemplary etch process chamber during the deposition employing the plasma of the hydrofluorocarbon gas can be in a range from 1 mTorr to 20 mTorr, although lesser and greater pressures can also be employed.

[0022] The properties of the spectral emission of plasma of C5FIF7 are described in U.S. Patent Application Publication No. 2013/0105947A1 to Fuller et al, published on May 2, 2013 and titled "High Aspect Ratio and Reduced Undercut Trench Etch Process for a Semiconductor Substrate."

[0023] Referring to FIG. 3, another gas flow schematic illustrates the gas flow rates for a hydrofluorocarbon gas and an etchant gas that are flowed into the exemplary etch process apparatus according to a first embodiment of the present disclosure. The etchant gas and the hydrofluorocarbon gas can be alternately flowed into the exemplary etch process apparatus. The etchant gas can be flowed into the exemplary etch process apparatus at a flow rate represented by a first flow rate curve 610. The hydrofluorocarbon gas can be alternately flowed into the exemplary etch process apparatus at a flow rate represented by a second flow rate curve 620.

[0024] The pretreatment includes a plurality of cycles of an etch step and a deposition step. During each etch step, the flow rate of the etchant gas is ramped up from zero to a target etchant flow rate, maintained at the target etchant flow rate, and is subsequently ramped down from the target etchant flow rate to zero. During each deposition step, the flow rate of the hydrofluorocarbon gas is ramped up from zero to a target flow rate, maintained at the target flow rate, and is subsequently ramped down from the target flow rate to zero. A plasma of the etchant gas is generated within the exemplary etch process apparatus for the duration of the flow of the etchant gas. A plasma of the hydrofluorocarbon gas is generated within the exemplary etch process apparatus for the duration of the flow of the

hydrofluorocarbon gas. The duration of each deposition step or each etch step can be in a range from 1 seconds to 1 minutes. The total number of cycles can be in a range from 1 to 1800. During the pretreatment, a plurality of cycles of a deposition step for depositing the hydrofluorocarbon polymer material and the etch process step can be performed.

[0025] In one embodiment, the hydrofluorocarbon gas is C5H2F6, and the etchant gas can be SF 6 that etches a semiconductor material portion on dummy substrate. For an etch process apparatus configured to process a circular substrate having a diameter of 300 mm, the target flow rate of the hydrofluorocarbon gas can be in a range from 100 standard cubic

centimeters per minute (seem) to 200 seem, although lesser and greater target flow rates can also be employed. For an etch process apparatus configured to process a circular substrate having a diameter of 300 mm, the target flow rate of the etchant gas can be in a range from 200 standard cubic centimeters per minute (seem) to 400 seem, although lesser and greater target flow rates can also be employed.

[0026] The RF power applied to the exemplary etch process chamber to generate a plasma of the hydrofluorocarbon gas depends on the volume of the exemplary etch process apparatus. For an etch process apparatus configured to process a circular substrate having a diameter of 300 mm, the RF power for generating a plasma of the hydrofluorocarbon gas can be in a range from 1,000 Watts to 3,000 Watts, although lesser and greater RF powers can also be employed. The RF power applied to the exemplary etch process chamber to generate a plasma of the etchant gas depends on the volume of the exemplary etch process apparatus. For an etch process apparatus configured to process a circular substrate having a diameter of 300 mm, the RF power for generating a plasma of the etchant gas can be in a range from 1,000 Watts to 3,000 Watts, although lesser and greater RF powers can also be employed.

[0027] The pressure of the exemplary etch process chamber during the deposition step employing the plasma of the hydrofluorocarbon gas can be in a range from 1 mTorr to 20 mTorr, although lesser and greater pressures can also be employed. The pressure of the exemplary etch process chamber during the etch step employing the plasma of the etchant gas can be in a range from 1 mTorr to 20 mTorr, although lesser and greater pressures can also be employed.

[0028] According to the various embodiments of the present disclosure that may, or may not, employ one or more etch processes during the pretreatment process, the pretreatment process for the inner walls of the exemplary etch process apparatus increases the etch rate of the at least one Bosch process to be subsequently performed by a positive percentage. The positive percentage can be at least 10 % during the first etch process among the at least one Bosch process, i.e., the first etch process that is a Bosch process and performed after the

pretreatment process.

[0029] In one embodiment, the hydrofluorocarbon polymer material deposited on the sidewalls of the exemplary etch process apparatus includes carbon at an atomic

concentration in a range from 35 % to 100 %, and fluorine at an atomic concentration from 0 % to 65%.

[0030] In one embodiment, the etch rate of the at least one silicon etch process employing SF 6 as an etchant gas to be subsequently performed increases by at least 50 % during the first etch process among the at least one silicon etch process employing SF 6 as an etchant that is performed after the pretreatment process relative a comparative silicon etch process employing the same processing conditions as the first silicon etch process and performed in an etch process apparatus without any pretreatment.

[0031] In another embodiment, the etch rate of the at least one Bosch process to be subsequently performed increases by at least 50 % during the first etch process among the at least one Bosch process that is performed after the pretreatment process relative a

comparative Bosch process employing the same processing conditions as the first Bosch process and performed in an etch process apparatus without any pretreatment. As used herein, the same processing conditions include the same process chamber configuration, the same gas flow rates as a function of time, the same temperature conditions as a function of time, and the same pressure conditions as a function of time throughout the entirety of the processes in comparison. The effectiveness of the enhancement of the etch rate gradually decreases with the total run time of the at least one Bosch process. In one embodiment, the percentage by which the etch rate of the at least one Bosch process increases monotonically decreases with the total run time of the at least one Bosch process within the exemplary etch process apparatus.

[0032] Once the pretreatment process is performed on the exemplary etch process chamber, at least one silicon etch process employing SF 6 as an etchant gas and Bosch process is performed in the exemplary etch process chamber to process semiconductor substrate for manufacturing semiconductor structures, i.e., to manufacture semiconductor products contained in semiconductor substrates. The pretreatment enhances the etch rate in the at least one silicon etch process employing SF 6 and one Bosch process as discussed above.

[0033] FIGS. 4A - 4G illustrate the sequential changes to a substrate processed in a Bosch process. Referring to FIG. 4A, an exemplary structure according to an embodiment of the present disclosure includes a semiconductor substrate 10 that includes a semiconductor material. The semiconductor material can be an elemental semiconductor material, a III-V compound semiconductor material, a II- VI compound semiconductor material, or a combination thereof. In one embodiment, the semiconductor material can be a silicon- containing material. For example, the semiconductor material of the semiconductor substrate 10 can include silicon, a silicon-germanium alloy, a silicon-carbon alloy, or a silicon- germanium-carbon alloy. In one embodiment, the semiconductor material can be silicon. The semiconductor material of the semiconductor substrate 10 can be undoped or doped with electrical dopants such as B, Ga, In, P, As, and/or Sb. Semiconductor devices (not shown) and/or metal interconnect structures (not shown) can be included in the upper portion of the semiconductor substrate. The thickness of the semiconductor substrate 10 can be from 30 microns to 2 mm, although lesser and greater thicknesses can also be employed.

[0034] A mask layer 20 is formed on the top surface of the semiconductor substrate 10, and is patterned to form openings therein. It is understood that a plurality of openings can be formed in the mask layer 20 although a single opening is illustrated in FIGS. 4A - 4L. The mask layer 20 can be a hard mask layer including a dielectric material or a metallic material. Dielectric materials that can be employed for the mask layer 20 include, but are not limited to a dielectric material selected from doped silicon oxide, undoped silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, and a combination thereof. Metallic materials that can be employed for the mask layer 20 include, but are not limited to, TiN, TaN, WN, WC, TiC, TaC, W, Ti, Ta, Cu, Al, and combinations or alloys thereof. The mask layer 20 can be patterned, for example, by applying a photoresist (not shown) thereupon, patterning the photoresist by lithographic exposure and development, and transferring the pattern in the photoresist into the mask layer 20 employing an etch, which can be an anisotropic etch such as a reactive ion etch or an isotropic etch such as a wet etch.

Alternatively, the mask layer 20 can include an organic material such as a patterned photoresist material or a patterned layer of an optically planarizing material as known in the art. The thickness of the mask layer 20 can be from 0.2 microns to 10 microns, although lesser and greater thicknesses can also be employed.

[0035] The width w of an opening in the mask layer 20 can be from 1 micron to 50 microns, although lesser and greater widths w can also be employed. The opening can have a shape of a circle, an ellipse, or rectangle in which the lesser distance between two pairs of sides corresponds to the width w.

[0036] Referring to FIG. 4B, the exemplary structure is placed in a process chamber configured to generate a plasma therein. The process chamber can be, for example, a reactive ion etch chamber configured to generate a plasma by coupling radio frequency (RF) electromagnetic field to the a gas therein.

[0037] For example, the process chamber can be a vacuum chamber including a lower electrode on which the exemplary structure is mounted, and an upper electrode vertically spaced from the exemplary structure by a spacing. The power coupled to the plasma through the RF electromagnetic field is herein referred to as a plasma power. In addition, a constant voltage bias can be applied between the lower electrode and the upper electrode to induce the ions in the plasma to impinge on the substrate in contact with the lower electrode. The power coupled to the plasma through the constant voltage bias is herein referred to as a bias power. The oscillating electric field ionizes the gas molecules by stripping electrons from the gas molecules, thereby creating a plasma.

[0038] Once the exemplary structure is loaded into the process chamber such that the bottom surface of the semiconductor substrate 10 contacts the lower electrode, and the upper electrode is more proximal to the mask layer 20 than to the bottom surface of the

semiconductor substrate 10, an etchant gas is flowed into the process chamber, for example, employing a mass flow controller. A non-limiting example of the etchant gas is sulfur hexafluoride (SF 6 ).

[0039] An etch process is performed by generating a plasma of the etchant gas. The plasma of the etchant gas can be generated in the process chamber by applying a bias voltage and an RF electromagnetic field, and thereby coupling the plasma power and the bias power to the etchant gas. The etchant gas is ionized to form a plasma containing etchant ions. The etchant ions are accelerated toward the exemplary structure to etch the semiconductor material of the semiconductor substrate 10. Because of statistical distribution of velocity of the ions in the plasma, a lateral etch accompanies a vertical etch of the semiconductor material in the semiconductor substrate 10. Thus, a trench 11 formed underneath each opening in the mask layer includes a peripheral undercut region. The undercut region of the trench 11 is the portion of the trench 11 that does not overlap the area of an overlying opening in the mask layer 20 in a top-down view in a direction perpendicular to the interface between the semiconductor substrate 10 and the mask layer 20. The undercut region is formed directly underneath portions of the mask layer 20 around the corresponding opening in the mask layer 20.

[0040] The pressure of the plasma of the etchant ions can be from 1 mTorr to 30 mTorr, although lesser and greater pressures can also be employed. The etch rate of the

semiconductor material during the etch process can be from 1 micron per minute to 10 microns per minute, although lesser and greater temperatures can also be employed. The temperature of the etch process can be from - 30 degrees Centigrade to 60 degrees

Centigrade, although lesser and greater temperatures can also be employed. The time duration of the etch process can be from 1 second to 1 minute, although lesser and greater time durations can also be employed.

[0041] Referring to FIG. 4C, after the etch process is performed for a time period, a deposition process is performed in the same process chamber. Specifically, the etchant gas in the process chamber is pumped out of the process chamber, and a deposition gas is flowed into the process chamber, for example, employing a mass flow controller. The deposition gas can be, for example, C 4 F 8 or any other gas known function as a polymer-generating gas in a Bosch process.

[0042] A deposition process is performed by generating a plasma of the deposition gas. The plasma of the deposition gas can be generated in the process chamber by applying a bias voltage and an RF electromagnetic field, and thereby coupling the plasma power and the bias power to the deposition gas. The deposition gas is ionized to form a plasma of fluorocarbon ions. The fluorocarbon ions are accelerated toward the exemplary structure to deposit a fluorocarbon polymer layer 30 on the top surface and sidewalls of the mask layer 20, and on the sidewalls and the bottom surface of the trench 11 within the semiconductor substrate 10. Because of statistical distribution of velocity of the ions in the plasma, the fluorocarbon polymer layer 30 is deposited as a contiguous layer that contacts the entirety of the top surface and sidewalls of the mask layer 20, and the sidewalls and the bottom surface of the trench 11 within the semiconductor substrate 10.

[0043] Various portions of the fluorocarbon polymer layer 30 can have different

compositions and different thicknesses due to different anisotropy for each species of ions present in the plasma. Further, various portions of the fluorocarbon polymer layer 30 can have different thicknesses. For example, a portion of the fluorocarbon polymer layer 30 located at a bottom of the trench 11 can have a bottom polymer thickness tp b, a portion of the fluorocarbon polymer layer 30 located at a sidewall of the trench 11 and a sidewall of the mask layer 20 can have a sidewall polymer thickness tp s, and a portion of the fluorocarbon polymer layer 30 located at a top surface of the mask layer 20 can have a top polymer thickness tp t. [0044] The pressure of the plasma can be from 1 mTorr to 30 mTorr, although lesser and greater pressures can also be employed. The deposition rate of the fluorocarbon polymer material of the fluorocarbon polymer layer 30 at various locations can be from 50 nm per minute to 500 nm per minute, although lesser and greater deposition rates can also be employed. The temperature of the deposition process can be from - 30 degrees Centigrade to 60 degrees Centigrade, although lesser and greater temperatures can also be employed. The time duration of the etch process can be from 0.5 second to 30 seconds, although lesser and greater time durations can also be employed.

[0045] Referring to FIG. 4D, the etch process of FIG. 4B is performed again. The parameters for generating the plasma of the etchant ions are selected such that the etchant ions impinge on the exemplary structure are aligned predominantly along a surface normal of the interface between the semiconductor substrate 10 and the mask layer 20. The fluorocarbon polymer layer 30 is etched anisotropically such that horizontal portions of the fluorocarbon polymer layer 30 located at a bottom surface of the trench 11 and on the top surface of the mask layer 20 are etched, while portions of the fluorocarbon polymer layer 30 located on the sidewalls of the mask layer 20 and the sidewalls of the trench 11 are not removed. The species of the etchant gas and the other parameters for generating the plasma of the etchant ions can be the same as in the etch process of FIG. 4B. In one embodiment, etch process employs a plasma of a fluorine-containing etchant such as SF 6 , and substitutes a fraction of hydrogen atoms in the fluorocarbon polymer material in the fluorocarbon polymer layer 30 with fluorine atoms prior to removing a fluorine-enhanced fluorocarbon material.

[0046] Referring to FIG. 4E, the time duration of the etch process that is initiated at the step of FIG. 4D is selected such that the etch process continues after a bottom portion of the fluorocarbon polymer layer 30 is removed and a semiconductor surface is physically exposed at the bottom of the trench 30. The etchant plasma commences etching of the semiconductor material of the semiconductor substrate 10 such that a vertical etch and a lateral etch of the semiconductor material occur simultaneously, while a remaining portion of the fluorocarbon polymer layer 30 at the sidewalls of the trench 11 protect the

semiconductor material around the remaining portion of the fluorocarbon polymer layer 30. The trench 11 is extended downward to add a newly added cavity volume. The lateral extent of the newly added cavity volume modulates vertically such that the lateral extent increases gradually and then gradually decreases as a function of depth from the level of the bottom surface of the trench 11 at the end of the processing step of FIG. 4D. The time duration of this etch process can be selected such that the fluorocarbon polymer layer 30 is completely removed, or only minimally present, at the end of this etch process.

[0047] Referring to FIG. 4F, once the etch process of FIGS. 4D and 4E is completed, the deposition process of FIG. 4C can be repeated to deposit the same type of a fluorocarbon polymer material, and to form another fluorocarbon polymer layer 30. The process parameters of this deposition step can be substantially the same as the process parameters of the step of FIG. 4C.

[0048] In general, a sequence of the etch process described above and the deposition process described above is repeated on the stack of the semiconductor substrate 10 and the mask layer 20 including openings. Each etch process removes a semiconductor material at a bottom surface of the trench 11 underlying an opening, and the deposition process deposits a fluorocarbon polymer layer 30 on the bottom surface and sidewalls of the trench 11 as well as the top surface and the sidewalls of the mask layer 20. The fluorocarbon polymer material of the fluorocarbon polymer layer 30 is generated from a plasma containing ions of the fluorocarbon gas.

[0049] At the end of each deposition step, a fluorocarbon polymer layer 30 is contiguously deposited on the top surface and sidewalls of the mask layer 20 and on the sidewalls and the bottom surface of the trench 11 within the semiconductor substrate 10. The fluorocarbon polymer layer 30 includes a first fluorocarbon polymer portion 30A deposited on the bottom surface of the trench 11 and having a first composition and a first thickness, a second fluorocarbon polymer portion 30B deposited on the sidewalls of the trench 11 and the sidewalls of the mask layer 20, and a third fluorocarbon polymer portion 30C deposited on the top surface of the mask layer 20 and having a third composition and a third thickness.

[0050] At the end of each deposition step, the exemplary structure is a semiconductor structure including a stack of the semiconductor substrate 10 and the mask layer 20 having an opening therein, the trench 11 that is located within the semiconductor substrate 10 and underlies the opening and has an vertically modulated width, and a fluorocarbon polymer layer 30 that extends from the top surface and sidewalls of the mask layer 20, through sidewalls of the trench 11, and to the bottom surface of the trench 11.

[0051] The repeated performance of the sequence of the etch process and the deposition process forms modulations in the lateral dimension, i.e., the width, within the trench 11 as a function of depth from the interface between the top surface of the semiconductor substrate 11 and the mask layer 20. The total number of repetitions of the sequence of the etch process and the deposition process can be from 2 to 1,000, and typically from 30 to 200, although a greater number of repetitions can also be employed. Each repetition of the sequence of the etch process and the deposition extends the trench 11 downward to add a newly added cavity volume. The lateral extent of the newly added cavity volume modulates vertically such that the lateral extent increases gradually and then gradually decreases as a function of depth from the level of the bottom surface of the trench 11 at the end of the processing step of FIG. 4D. The time duration of this etch process can be selected such that the fluorocarbon polymer layer 30 is completely removed, or only minimally present, at the end of each etch process.

[0052] Referring to FIG. 4G, the last the sequence of the etch process and the deposition process can be followed by another etch process that extends the trench 11 downward for the last time. The trench 11 as provided after the last etch process has a vertically modulating width, which is herein referred to as "scalloping." One cycle of modulation of the width of the trench 11 corresponds to an etch process within a sequence. The total number of cycles in the modulation of the width of the trench 11 is the same as the sum of the number of repetitions of the sequence of the etch process and the number 1, which corresponds to the last etch process that is not followed by a deposition process.

[0053] The depth d of the trench can be from 20 microns to 200 microns, although lesser and greater depths can also be employed. The lateral distance between the outermost lateral extent of a sidewall of the trench 11 and a sidewall of the mask layer 20 that is most proximal to that sidewall of the trench 11 in a vertical cross-sectional view in a widthwise direction of the trench 11 is herein referred to as an "undercut dimension" u of the trench 11. As used herein, a widthwise direction of the trench 1 1 is a direction along which the lateral separation distance between two opposing sidewalls is minimized. If the shape of the opening in the mask layer 20 is circular, any vertical passing through a vertical center axis of the trench 11 is a widthwise direction. If the shape of the opening in the mask layer 20 is rectangular, the widthwise direction is the direction of a shorter pair of sides of the rectangle that defines a periphery of the opening in a top-down view.

[0054] In a non-limiting illustrative example, the undercut dimension u of the trench 11 can be on the order of 300 nm if the width w of the opening is from 3 micron to 5 micron and the depth d of the trench is on the order of 50 microns, and gradually increases to be on the order of 600 nm if the width w of the opening is on the order of 50 microns and the depth d of the trench 11 is on the order of 100 microns. The lateral modulation of a sidewall of the trench 11 as seen in a vertical cross-sectional view in the widthwise direction can be from 5 % to 30 % of the undercut dimension.

[0055] Each of the at least one Bosch process employs a plasma of a fluorine-containing etchant. Within the exemplary etch process chamber, the at least one Bosch process substitutes a fraction of hydrogen atoms in the hydrofluorocarbon polymer material with fluorine atoms, thereby gradually decreasing the etch rate in the at least one Bosch process with the total process time of the at least one Bosch process. To maintain a high average etch rate, a pretreatment process and at least one Bosch process may be performed repeated. In other words, a sequence of processes performed within the exemplary etch process apparatus can include a first pretreatment process, a first set of at least one Bosch process, a second pretreatment, a second set of at least one Bosch process, and so on.

[0056] The at least one Bosch process performed on the at least one substrate can be a plurality of Bosch processes sequentially performed on a plurality of substrates. A new substrate can be loaded into the exemplary etch process apparatus prior to commencing each Bosch process, and can be unloaded from the exemplary etch process apparatus after finishing each Bosch process. [0057] In one embodiment, the Bosch process can employ SF 6 as the etchant. In one embodiment, the at least one Bosch process can employ C 4 F 8 as a passivation gas.

[0058] Each Bosch process is performed on a "production substrate," which is a substrate including a semiconductor material in which semiconductor devices have been formed or are subsequently formed. Each substrate that is loaded into the exemplary etch process chamber includes a mask layer 142 located on a semiconductor layer 140 as illustrated in FIG. 1. The mask layer can include a dielectric material selected from doped silicon oxide, undoped silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, or a combination thereof. The semiconductor layer 140 can include silicon or a semiconductor alloy including silicon.

[0059] Once the Bosch process is completed, the substrate can be removed from the exemplary etch process apparatus, and additional processing steps can be subsequently performed. Referring to FIG. 4H, the surfaces of the trench 11 can be cleaned, for example, by a wet clean. Optionally, the mask layer 20 may, or may not, be removed. A dielectric liner 40 is deposited on the sidewalls of the trench 11 by a conformal deposition process such as chemical vapor deposition or atomic layer deposition. The dielectric liner 40 includes a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide, or a combination thereof. The thickness of the dielectric liner 40 can be from 50 nm to 1,000 nm, although lesser and greater thicknesses can also be employed.

[0060] Referring to FIG. 41, a conductive material layer 50L can be deposited to fill the trench 11. The conductive material layer 50L can be deposited, for example, by chemical vapor deposition, electroplating, electroless plating, or a combination thereof. The conductive material layer 50L can include a metallic material such as Cu, Al, W, TaN, TiN, WN, or a combination thereof.

[0061] Referring to FIG. 4J, the conductive material layer 50L can be planarized to form a through substrate via structure 50, for example, by chemical mechanical planarization. The dielectric liner 40 and/or the mask layer 20 can be employed as a stopping layer for the planarization process. [0062] Referring to FIG. 4K, a bottom portion of the semiconductor substrate 10 can be removed, for example, by grinding or chemical mechanical planarization to physically expose a bottom portion of the through substrate via structure 50. A surface of the dielectric liner 40 is also physically exposed around the bottom surface of the through substrate via structure 50.

[0063] Referring to FIG. 4L, the semiconductor substrate 10 can be bonded to a substrate 60, for example, through a C4 ball 70. The substrate 60 can be another semiconductor substrate, a transposer, or a packaging substrate.

[0064] FIG. 5 is a schematic vertical cross-sectional view of an exemplary substrate including a deep trench formed by a Bosch process at the end of the processing step of FIG. 4G. The scalloping on the sidewalls of the trench 11 is not shown in FIG. 5. Each sidewall of the trench 11 in FIG. 5 represents a vertical surface that approximates the scalloped sidewalls of the trench 11, for example, by a least root mean square deviation approximation method. The bottom surface of the trench 11 in FIG. 5 represents a horizontal surface that represents a physically pitted surface of the trench 11, for example, by a least root mean square deviation approximation method.

[0065] The depth d of the trench 11 is defined as the vertical distance between the interface between the semiconductor layer 10 and the mask layer 20. The width w of the trench 11 is defined as the horizontal distance between a pair of vertical sidewalls approximating the physical sidewalls of the trench 11. The undercut u of the trench 11 is defined as a lateral distance between a vertical sidewall of the mask layer 20 and a most proximate portion of the sidewalls of the trench 11. The aspect ratio of the trench is defined as the ratio of the depth d to the width w of the trench 11.

[0066] FIGS. 6 - 11 compare performance of a Bosch process after pretreating sidewalls of an etch process apparatus employing methods of the present disclosure relative to a comparative Bosch process employing the same processing conditions as the Bosch process and performed in an etch process apparatus without any pretreatment. [0067] Referring to FIG. 6, performance of a Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as a hydrofluorocarbon gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning are compared for the etch rate for a 3 micron wide trench. The Bosch process had identical process parameters. The etchant for the Bosch process was SF 6 , and the passivation gas for the Bosch process was C4F8. The same etch process apparatus was employed for performing the Bosch process with, and without, pretreatment of the etch process apparatus. The pretreatment process included 5 minutes of deposition step with a plasma generated from C5FIF7 without any accompanying etch step. Thus, the duration of the preconditioning process was 5 minutes.

[0068] A first etch depth curve 906 A as a function of Bosch process time represents a fit for the etch depth data (represented by diamonds) for the Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as the hydrofluorocarbon gas. A second etch depth curve 906B as a function of Bosch process time represents a fit for the etch depth data (represented by squares) for the Bosch process in an etch process apparatus without any preconditioning. Comparison of the first and second etch depth curves (906A, 906B) show that preconditioning of the etch process apparatus according to the first embodiment of the present disclosure enhanced the etch rate of a first Bosch process after the chamber preconditioning by at least 50 %.

[0069] FIG. 7 compares a different metric between performance a Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as a hydrofluorocarbon gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning. Undercut u, as defined in FIG. 5, was measured for the various 3 micron wide trenches having different depths.

[0070] A first undercut curve 907A as a function of etch depth represents a fit for the measured undercut data (represented by diamonds) for the Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as the hydrofluorocarbon gas. A second undercut curve 907B as a function of etch depth represents a fit for the undercut data (represented by squares) for the Bosch process in an etch process apparatus without any preconditioning. Comparison of the first and second undercut curves (907A, 907B) show that preconditioning of the etch process apparatus according to the first embodiment of the present disclosure reduced the undercut by at least 30 % for trenches having comparable depths and widths.

[0071] Referring to FIG. 8, a graph illustrates the dependence of the etch rate of a Bosch process for trenches having a width of about 3 microns and an aspect ratio of about 23 in an etch process apparatus preconditioned employing C5HF7 gas as a hydrofluorocarbon gas on the total cumulative Bosch process time in the etch process apparatus.

[0072] A first etch rate curve 908A as a function of cumulative Bosch process time represents a fit for the measured etch rate data (represented by diamonds) for the Bosch process in an etch process apparatus preconditioned employing C5HF7 gas as the

hydrofluorocarbon gas. A second etch rate curve 908B as a function of cumulative Bosch process time represents the etch rate for the Bosch process in an etch process apparatus without any preconditioning. Comparison of the first and second etch rate curves (908A, 908B) show that effect of preconditioning of the etch process apparatus according to the first embodiment of the present disclosure decreases with the cumulative Bosch process time after the preconditioning of the etch process apparatus. Repeating the preconditioning process resets the etch rate of a subsequent Bosch process to the maximum value.

[0073] Referring to FIG. 9, performance of a Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas and performance of a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning are compared for the etch rate for a various 3 to 50 micron wide circular trenches having different depths. The Bosch process had identical process parameters. The etchant for the Bosch process was SF 6 , and the passivation gas for the Bosch process was C4F8. The same etch process apparatus was employed for performing the Bosch process with, and without, pretreatment of the etch process apparatus. The pretreatment process included multiple cycles of a deposition step employing a plasma generated from C5H2F6 and an etch step employing a plasma generated from SF 6 . The duration of the pretreatment process was about 40 minutes. [0074] A first etch depth curve 909 A as a function of Bosch process time represents a fit for the etch depth data (represented by diamonds) for the Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as the hydrofluorocarbon gas and SF 6 as an etchant gas. A second etch depth curve 909B as a function of Bosch process time represents a fit for the etch depth data (represented by squares) for the Bosch process in an etch process apparatus without any preconditioning. Comparison of the first and second etch depth curves (909A, 909B) show that preconditioning of the etch process apparatus according to the second embodiment of the present disclosure enhanced the etch rate of a first Bosch process after the chamber preconditioning by at least 50 %.

[0075] Referring to FIG. 10, undercut u, as defined in FIG. 5, was measured for the various 3 to 50 micron wide circular trenches having different depths for a Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas and for a comparative Bosch process in a comparative exemplary etch process apparatus without any preconditioning.

[0076] A first undercut curve 91 OA as a function of etch depth represents a fit for the measured undercut data (represented by diamonds) for the Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as the hydrofluorocarbon gas and SF 6 as an etchant gas. A second undercut curve 910B as a function of etch depth represents a fit for the undercut data (represented by squares) for the Bosch process in an etch process apparatus without any preconditioning. Comparison of the first and second undercut curves (91 OA, 910B) show that preconditioning of the etch process apparatus according to the first embodiment of the present disclosure reduced the undercut by at least 10 % for trenches having comparable depths and widths.

[0077] Referring to FIG. 11, a graph illustrates the dependence of the etch rate of a Bosch process for trenches having a width of about 50 microns and an aspect ratio of about 3 in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas on the total cumulative Bosch process time in the etch process apparatus. [0078] An etch rate curve 911 as a function of cumulative Bosch process time represents a fit for the measured etch rate data (represented by diamonds) for the Bosch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas. The etch rate curve 911 A shows that effect of preconditioning of the etch process apparatus according to the second embodiment of the present disclosure decreases with the cumulative Bosch process time after the preconditioning of the etch process apparatus. A second etch rate curve 91 IB as a function of cumulative Bosch process time represents the etch rate for the Bosch process in an etch process apparatus without any preconditioning. Repeating the preconditioning process resets the etch rate of a subsequent Bosch process to the maximum value.

[0079] Referring to FIG. 12, performance of a silicon etch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas and performance of a comparative silicon etch process in a comparative exemplary etch process apparatus without any preconditioning are compared for the etch rate for a 3 micron wide circular trench. The process had identical process parameters. The etchant for the silicon etch process was SF 6 without any passivation gas. The same etch process apparatus was employed for performing the silicon etch process with, and without, pretreatment of the etch process apparatus. The pretreatment process included 40 minutes of deposition step with a plasma generated from C5H2F6 and etch step with a plasma generated from SF 6 .

[0080] A first etch depth curve 912A as a function of silicon etch process time represents a fit for the etch depth data (represented by diamonds) for the silicon etch process in an etch process apparatus preconditioned employing C5H2F6 gas as the hydrofluorocarbon gas and SF 6 as an etchant gas. A second etch depth curve 912B as a function of silicon etch process time represents a fit for the etch depth data (represented by squares) for the silicon etch process in an etch process apparatus without any preconditioning. Comparison of the first and second etch depth curves (912 A, 912B) show that preconditioning of the etch process apparatus according to the first embodiment of the present disclosure enhanced the etch rate of a first silicon etch process after the chamber preconditioning by at least 50 %. [0081] FIG. 13 compares a different metric between performance a silicon etch process in an etch process apparatus preconditioned employing C5H2F6 gas as a hydrofluorocarbon gas and SF 6 as an etchant gas, and performance of a comparative silicon etch process in a comparative exemplary etch process apparatus without any preconditioning. Undercut u, as defined in FIG. 5, was measured for the various 3 micron wide circular trenches having different depths.

[0082] Referring to FIG. 14, enhancement of process throughput through a pretreatment process is illustrated. If an etch process apparatus is employed for a 15-minute long Bosch process (employing C 4 F 8 and SF 6 ) without any pretreatment process, the expected throughput of the etch process apparatus is about 96 wafers per day. If a 5 minute pretreatment process (seasoning process) including a single deposition step employing a plasma of C5HF7 and if the etch process apparatus is subsequently operated for 30 minutes (to process two wafers), the expected throughput of the etch process throughput is about 122 wafers per day. If a 5 minute pretreatment process (seasoning process) including a single deposition step employing a plasma of C5HF7 and if the etch process apparatus is subsequently operated for 60 minutes (to process four wafers), the expected throughput of the etch process throughput is about 126 wafers per day. Thus, by pretreating the etch process apparatus with a plasma of a hydrofluorocarbon gas to deposit a hydrofluorocarbon polymer material on the inner walls of the etch process apparatus periodically, the overall throughput of the etch process apparatus for a Bosch process can be increased over a comparative method in which the Bosch process is performed continuously without employing a pretreatment process.

[0083] While the disclosure has been described in terms of specific embodiments, it is evident in view of the foregoing description that numerous alternatives, modifications and variations will be apparent to those skilled in the art. Accordingly, the disclosure is intended to encompass all such alternatives, modifications and variations which fall within the scope of the disclosure and the following claims.