Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
FOOTING REMOVAL FOR NITRIDE SPACER
Document Type and Number:
WIPO Patent Application WO/2018/048594
Kind Code:
A1
Abstract:
Processing methods may be performed to remove unwanted materials from a substrate, such as an oxide footing. The methods may include forming an inert plasma within a processing region of a processing chamber. Effluents of the inert plasma may be utilized to modify a surface of an exposed material on a semiconductor substrate within the processing region of the semiconductor chamber. A remote plasma may be formed from a fluorine-containing precursor to produce plasma effluents. The methods may include flowing the plasma effluents to the processing region of the semiconductor processing chamber. The methods may also include removing the modified surface of the exposed material from the semiconductor substrate.

Inventors:
KO JUNGMIN (US)
CHOI TOM (US)
Application Number:
PCT/US2017/047209
Publication Date:
March 15, 2018
Filing Date:
August 16, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L21/02; H01L21/3065; H01L21/3213; H01L21/67
Foreign References:
US8748322B12014-06-10
US20140342569A12014-11-20
JP2016111177A2016-06-20
US9425041B22016-08-23
US20140342532A12014-11-20
Attorney, Agent or Firm:
MCCORMICK, Daniel, K. et al. (US)
Download PDF:
Claims:
CLAIMS: 1. An etching method comprising:

forming an inert plasma within a processing region of a semiconductor processing chamber;

modifying a surface of an exposed material on a semiconductor substrate within the processing region of the semiconductor processing chamber with effluents of the inert plasma;

forming a remote plasma from a fluorine-containing precursor to produce plasma effluents;

flowing the plasma effluents to the processing region of the semiconductor processing chamber; and

removing the modified surface of the exposed material from the semiconductor substrate. 2. The etching method of claim 1, wherein the inert plasma comprises a hydrogen plasma. 3. The etching method of claim 1, wherein the inert plasma is formed from a bias power below about 100 W. 4. The etching method of claim 1, wherein a pressure within the semiconductor processing chamber while forming the inert plasma and during the modifying is maintained below about 50 mTorr. 5. The etching method of cl aim 1 , wherein the semiconductor substrate is maintained at a temperature above or about 80° C during the removal of the modified surface of the exposed material. 6. The etching method of claim 5, wherein removing the modified surface of the exposed material exposes an unmodified portion of the material, and wherein an etching selectivity of a modified portion of the material to the unmodified portion of the material is greater than or about 100: 1.

7. The etching method of claim 1, wherein the exposed material on the semiconductor substrate comprises an oxide footing located proximate a nitride spacer positioned along the semiconductor substrate. 8. The etching method of claim 1 , wherein the remote plasma is additionally formed from a hydrogen-containing precursor. 9. An etching method comprising:

forming an inert plasma within a processing region of a semiconductor processing chamber;

modifying an exposed region of silicon oxide on a semiconductor substrate within the processing region of the semiconductor processing chamber with effluents of the inert plasma;

contacting the modified silicon oxide with plasma effluents of a iluorine- containing precursor; and

etching the modified silicon oxide, wherein the modifying, contacting, and etching are all performed in the semiconductor processing chamber. 10. The etching method of claim 9, wherein the etching is performed at a temperature of about 100° C. 11. The etching method of claim 9, wherein the plasma effluents of the fluorine-containing precursor are produced by a capacitively-coupled plasma at a power level of about 300 W. 12. The etching method of claim 9, wherein the modified silicon oxide is additionally contacted with a hydrogen-containing precursor. 13. The etching method of claim 12, wherein the hydrogen-containing precursor bypasses the remote region of the semiconductor processing chamber, and wherein the hydrogen-containing precursor interacts with the plasma effluents of the fiuorine- containing precursor subsequent to the plasma effluents of the fluorine-containing precursor exiting the remote region of the semiconductor processing chamber. 14. An etching method comprising: forming an inert plasma within a processing region of a semiconductor processing chamber, wherein the inert plasma comprises a hydrogen plasma formed by a bias power of less than 100 W;

modifying an exposed region of silicon oxide on a semiconductor substrate within the processing region of the semiconductor processing chamber with effluents of the inert plasma, wherein the exposed region of silicon oxide comprises a footing positioned about an intersection of a nitride spacer with a surface of silicon oxide from which the nitride spacer extends, wherein a pressure within the semiconductor processing chamber is maintained below about 100 mTorr during the modifying;

forming a plasma of a fluorine-containing precursor in a remote region of the semiconductor processing chamber that is separated from the processing region of the semiconductor processing chamber by a showerhead;

contacting the modified silicon oxide with plasma effluents of the fluorine- containing precursor; and

etching the modified silicon oxide footing at a temperature of about 100° C, wherein the modifying, contacting, and etching are all performed in the semiconductor processing chamber, and wherein no solid byproducts are produced during the etching, 15. The etching method of claim 14, wherein the remote region of the semiconductor processing chamber is a region external to the semiconductor processing chamber, but fluidly coupled with an inlet to the semiconductor processing chamber.

Description:
FOOTING REMOVAL FOR NITRIDE SPACER

CROSS REFERENCE TO RELATED APPLICATIONS

[0001] This application claims the benefit of U.S. Patent Application No. 15/260,705 filed September 9, 2016, which the entire disclosure of which is incorporated by reference herein for all purposes.

TECHNICAL FIELD

[0002] The present technology relates to semiconductor systems, processes, and equipment. More specifically, the present technology relates to systems and methods for removing oxide or nitride materials on a wafer surface.

BACKGROUND

[0003] Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers, or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process that etches one material faster than another facilitating, for example, a pattern transfer process. Such an etch process is said to be selective to the first material. As a result of the diversity of materials, circuits, and processes, etch processes have been developed with a selectivity towards a variety of materials.

[0004] Etch processes may be termed wet or dry based on the materials used in the process. A wet HF etch preferentially removes silicon oxide over other dielectrics and materials. However, wet processes may have difficulty penetrating some constrained trenches and also may sometimes deform the remaining material. Dry etches produced in local plasmas formed within the substrate processing region can penetrate more constrained trenches and exhibit less deformation of delicate remaining structures. However, local plasmas may damage the substrate through the production of electric arcs as they discharge.

[0005] Thus, there is a need for improved systems and methods that can be used to produce high quality devices and structures. These and other needs are addressed by the present techno log}' . SUMMARY

[0006] Processing methods may be performed to remove unwanted materials from a substrate, such as an oxide footing. The methods may include forming an inert plasma withm a processing region of a processing chamber. Effluents of the inert plasma may be utilized to modify a surface of an exposed material on a semiconductor substrate withm the processing region of the semiconductor chamber. A remote plasma may be formed from a fluorine- containing precursor to produce plasma effluents. The methods may include flowing the plasma effluents to the processing region of the semiconductor processing chamber. The methods may also include removing the modified surface of the exposed material from the semiconductor substrate.

[0007] During the modification operation, the inert plasma may include or be composed of a hydrogen plasma. The inert plasma may be formed from a bias power below about 100 W. In embodiments, the surface of the exposed material on the semiconductor substrate may be modifi ed to a depth from the exposed surface withm the semiconductor substrate of less than about 4 nm. A pressure within the semiconductor processing chamber while forming the inert plasma and during the modifying may be maintained below about 50 mTorr.

[0008] The remote plasma may be formed in a region of the semiconductor processing chamber fluidly isolated from the processing region of the semiconductor processing chamber or may be formed in a remote plasma unit fluidly coupled with the semiconductor processing chamber. The semiconductor substrate may be maintained at a temperature above or about 80° C during the removal of the modified surface of the exposed material .

Removing the modified surface of the exposed materi al may expose an unmodified portion of the material, and an etching selectivity of a modified portion of the material to the unmodified portion of the material may be greater than or about 100: 1 , greater than or about 1,000: 1, or higher. The exposed material on the semiconductor substrate may include an oxide footing located proximate a nitride spacer positioned along the semiconductor substrate. In embodiments, the remote plasma may be additionally formed from a hydrogen- containing precursor. Also, in embodiments each operation of the etching method may be repeated in at least one additional cycle, and a total removal after all cycles may be less than lO nm.

[0009] The present technology may also include etching methods for removing silicon oxide materials. The methods may include forming an inert plasma within a processing region of a semiconductor processing chamber. The methods may include modifying an exposed region of silicon oxide on a semiconductor substrate within the processing region of the semiconductor processing chamber with effluents of the inert plasma. The methods may include contacting the modified silicon oxide with plasma effluents of a fluorine-containing precursor. The methods may further include etching the modified silicon oxide. In embodiments the modifying, contacting, and etching may all be performed in a single semiconductor processing chamber.

10010] In embodiments, the etching may be performed at a temperature of about 100° C. The plasma effluents of the fluorine-containing precursor may be formed in a remote region of the semiconductor processing chamber fluidly coupled with, and physically separated from, the processing region of the semiconductor processing chamber. The plasma effluents of the fluorine-containing precursor may be produced by a capacitiveiy-coupled plasma at a power level of about 300 W. In embodiments, the modified silicon oxide may be additionally contacted with a hydroge -containing precursor. The hydrogen-containing precursor may bypass the remote region of the semiconductor processing chamber. The hydrogen- containing precursor may interact with the plasma effluents of the fluorine-containing precursor subsequent to the plasma effluents of the fluorine-containing precursor exiting the remote region of the semiconductor processing chamber.

[0011] The present technology also includes methods of etching a footing along a nitride spacer. The methods may include forming an inert plasma within a processing region of a semiconductor processing chamber. The inert plasma may include a hydrogen plasma formed by a bias power of less than 100 W. The methods may also include modifying an exposed region of silicon oxide on a semiconductor substrate withm the processing region of the semiconductor processing chamber with effluents of the inert plasma. The exposed region of silicon oxide may be or include a footing positioned about an intersection of a nitride spacer with a surface of silicon oxide from which the nitride spacer extends. Also, during the modification operation, a pressure within the semiconductor processing chamber may be maintained below about 100 mTorr.

[0012] The methods may also include forming a plasma of a fluorine-containing precursor in a remote region of the semiconductor processing chamber that is separated from the processing region of the semiconductor processing chamber by a showerhead. The methods may include contacting the modified silicon oxide with plasma effluents of the fluorine- containing precursor. Additionally, the methods may include etching the modified silicon oxide footing at a temperature of about 100° C. In embodiments, the modifying, contacting, and etching may all be performed in the same semiconductor processing chamber. In some embodiments no solid byproducts are produced during the etching. The remote region of the semiconductor processing chamber may be a region defined within the semiconductor processing chamber in embodiments. Additionally, the remote region of the semiconductor processing chamber may be a region external to the semiconductor processing chamber, but fiuidiy coupled with an inlet to the semiconductor processing chamber.

[0013] Such technology may provide numerous benefits over conventional systems and techniques. For example, fully removing a footing may prevent or reduce pitch walking during subsequent processes. Additionally, the virtually infinite selectivity with respect to oxide may allow treatment to be performed on reduced thickness materials. These and other embodiments, along with many of their advantages and features, are described in more detail in conj unction with the below description and attached figures.

BRIEF DESCRIPTION OF THE DRAWINGS

[0014] A further understanding of the nature and advantages of the disclosed technology may be realized by reference to the remaining portions of the specification and the drawings.

[0015] FIG. 1 shows a top plan view of an exemplary processing system according to the present technology.

[0016] FIG. 2 shows a schematic cross-sectional view of an exemplary processing chamber according to the present technology.

[0017] FIG. 3 shows selected operations in an etching method according to embodiments of the present technology. [0018] FIGS. 4A-D illustrate cross-sectional views of substrate materials on which selected operations are being performed according to embodiments of the present technology.

[0019] FIG. 5 shows a chart illustrating the comparative etch rates of multiple materials that have been modified and unmodified according to embodiments of the present technology. [0020] Several of the figures are included as schematics. It is to be understood that the figures are for illustrative purposes, and are not to be considered of scale unless specifically stated to be of scale. Additionally, as schematics, the figures are provided to aid

comprehension and may not include all aspects or information compared to realistic representations, and may include superfluous or exaggerated material for illustrative purposes.

[0021] In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a letter that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the letter.

DETAILED DESCRIPTION

[0022] The present technology includes systems and components for semiconductor processing of small pitch features. As line pitch is reduced, standard lithography processes may be limited, and alternative mechanisms may be used in patterning. During one such patterning operation, nitride spacers may be formed over an oxide surface. In one formation scenario, the nitride layer is deposited over dummy polysilicon lines and over a pad oxide. To form the nitride spacers, an etching process is performed that may remove the continuity of the nitride layer as well as the polysilicon. To ensure that the nitride has been fully removed between the spacers, an overetch is often performed. However, such a process may sputter the underlying pad oxide, which may be re-deposited along the nitride spacers' sidewalls as a footing. If this footing is not removed, the line thickness between the cores and gaps may differ, which may cause pitch walking in later processes. [0023] Conventional technologies have struggled with this footing removal because the overetch process is often highly selective to other materials over oxide. Thus, the materials used for the etch are likely to remove the oxide material at a slower rate than the nitride, which can harm the formed nitride spacers. Additionally, because the pad oxide may be a thin, high quality oxide, such as a thermal oxide, controlled yet limited removal may be more difficult. The present technology, however, takes advantage of a single chamber capable of both surface modification as well as etching capabilities to affect material quality, etch rates, and selectivity. By modifying or damaging the footing, removal can be performed under etching conditions that may be less suitable for standard film removal. These techniques may not only remove undesired materials, but may perform the removal in a controlled fashion that limits overall material effects. Accordingly, the techniques explained may be suitable for a variety of semiconductor processes across industry by allowing limited removal at highly selective rates. For example, along with footing removal, these techniques may be used in dummy poly silicon pull-off, strained source drain, and many other modification and removal processes.

[0024] Although the remaining disclosure will routinely identify specific etching processes utilizing the disclosed technology, it will be readily understood that the systems and methods are equally applicable to deposition and cleaning processes as may occur in the described chambers. Accordingly, the technology should not be considered to be so limited as for use with etching processes alone.

[0025] FIG. 1 shows a top plan view of one embodiment of a processing system 100 of deposition, etching, baking, and curing chambers according to embodiments. The processing tool 100 depicted in FIG. 1 may contain a plurality of process chambers, 114A-D, a transfer chamber 110, a service chamber 116, an integrated metrology chamber 117, and a pair of load lock chambers 106A-B. The process chambers may include structures or components similar to those described in relation to Figure 2, as well as additional processing chambers.

[0026] To transport substrates among the chambers, the transfer chamber 110 may contain a robotic transport mechanism 113. The transport mechanism 113 may have a pair of substrate transport blades 113A attached to the distal ends of extendible arms 113B, respectively. The blades 113A may be used for carrying individual substrates to and from the process chambers. In operation, one of the substrate transport blades such as blade 113A of the transport mechanism 1 13 may retrieve a substrate W from one of the load lock chambers such as chambers 106A-B and carry substrate W to a first stage of processing, for example, an etching process as described below in chambers 1 14A-D. If the chamber is occupied, the robot may wait until the processing is complete and then remove the processed substrate from the chamber with one blade 113A and may insert a new substrate with a second blade (not shown). Once the substrate is processed, it may then be moved to a second stage of processing. For each move, the transport mechanism 113 generally may have one blade carrying a substrate and one blade empty to execute a substrate exchange. The transport mechanism 1 13 may wait at each chamber until an exchange can be accomplished. [0027] Once processing is complete within the process chambers, the transport mechanism 1 13 may move the substrate W from the last process chamber and transport the substrate W to a cassette within the load lock chambers 106A-B. From the load lock chambers 106A-B, the substrate may move into a factory interface 104. The factory interface 104 generally may operate to transfer substrates between pod loaders 105A-D in an atmospheric pressure clean environment and the load lock chambers 106A-B. The clean environment in factory interface 104 may be generally provided through air filtration processes, such as HEP A filtration, for example. Factory interface 104 may also include a substrate ori enter/alig er (not shown) that may be used to properly align the substrates prior to processing. At least one substrate robot, such as robots 108 A-B, may be positioned in factory interface 104 to transport substrates between various positions/locations within factor}' interface 104 and to other locations in communication therewith. Robots 108 A-B may be configured to travel along a track system within enclosure 104 from a first end to a second end of the factory interface 104.

[0028] The processing system 100 may further include an integrated metrology chamber 117 to provide control signals, which may provide adaptive control over any of the processes being performed in the processing chambers. The integrated metrology chamber 117 may include any of a variety of metrological devices to measure various film properties, such as thickness, roughness, composition, and the metrology devices may further be capable of characterizing grating parameters such as critical dimensions, sidewall angle, and feature height under vacuum in an automated manner.

[0029] Turning now to FIG. 2 is shown a cross-sectional view of an exemplary process chamber system 200 according to the present technology. Chamber 200 may be used, for example, in one or more of the processing chamber sections 114 of the system 100 previously discussed Generally, the etch chamber 200 may include a first capacitively-coupled plasma source to implement an ion milling operation and a second capacitively-coupled plasma source to implement an etching operation and to implement an optional deposition operation. The chamber 200 may include grounded chamber walls 240 surrounding a chuck 250. In embodiments, the chuck 250 may be an electrostatic chuck that clamps the substrate 202 to a top surface of the chuck 250 during processing, though other clamping mechanisms as would be known may also be utilized. The chuck 250 may include an embedded heat exchanger coil 217. In the exemplary embodiment, the heat exchanger coil 217 includes one or more heat transfer fluid channels through which heat transfer fluid, such as an ethylene gly col/water mix, may be passed to control the temperature of the chuck 250 and ultimately the temperature of the substrate 202,

[0030] The chuck 250 may include a mesh 249 coupled to a high voltage DC supply 248 so that the mesh 249 may carry a DC bias potential to implement the electrostatic clamping of the substrate 202. The chuck 250 may be coupled with a first RF power source and in one such embodiment, the mesh 249 may be coupled with the first RF power source so that both the DC voltage offset and the RF voltage potentials are coupled across a thin dielectric layer on the top surface of the chuck 250. In the illustrative embodiment, the first RF power source may include a first and second RF generator 252, 253. The RF generators 252, 253 may operate at any industrially utilized frequency, however in the exempiaiy embodiment the RF generator 252 may operate at 60 MHz to provide advantageous directionality. Where a second RF generator 253 is also provided, the exempiaiy frequency may be 2 MHz.

[0031] With the chuck 250 to be RF powered, an RF return path may be provided by a first showerhead 225. The first showerhead 225 may be disposed above the chuck to distribute a first feed gas into a first chamber region 284 defined by the first showerhead 225 and the chamber wall 240. As such, the chuck 250 and the first showerhead 225 form a first RF coupled electrode pair to capaci lively energize a first plasma 270 of a first feed gas within a first chamber region 284. A DC plasma bias, or RF bias, resulting from capacitive coupling of the RF powered chuck may generate an ion flux from the first plasma 270 to the substrate 202, e.g., Ar ions where the first feed gas is Ar, to provide an ion milling plasma. The first showerhead 225 may be grounded or alternately coupled with an RF source 228 having one or more generators operable at a frequency other than that of the chuck 250, e.g. , 13.56 MHz or 60 MHz. In the illustrated embodiment the first showerhead 225 may be seiectabiy coupled to ground or the RF source 228 through the relay 227 which may be automatically controlled during the etch process, for example by a controller (not shown). In disclosed embodiments, chamber 200 may not include showerhead 225 or dielectric spacer 220, and may instead include only baffle 215 and showerhead 210 described further below.

[0032] As further illustrated in the figure, the etch chamber 200 may include a pump stack capable of high throughput at low process pressures. In embodiments, at least one turbo molecular pump 265, 266 may be coupled with the first chamber region 284 through one or more gate valves 260 and disposed below the chuck 250, opposite the first showerhead 225. The turbo molecular pumps 265, 266 may be any commercially available pumps having suitable throughput and more particularly may be sized appropriately to maintain process pressures below or about 10 mTorr or below or about 5 mTorr at the desired flow rate of the first feed gas, e.g., 50 to 500 seem of Ar where argon is the first feedgas. In the embodiment illustrated, the chuck 250 may form part of a pedestal which is centered between the two turbo pumps 265 and 266, however in alternate configurations chuck 250 may be on a pedestal cantiievered from the chamber wall 240 with a single turbo molecular pump having a center aligned with a center of the chuck 250.

[0033] Disposed above the first showerhead 225 may be a second showerhead 210. In one embodiment, during processing, the first feed gas source, for example, Argon delivered from gas distribution system 290 may be coupled with a gas inlet 276, and the first feed gas flowed through a plurality of apertures 280 extending through second showerhead 210, into the second chamber region 281 , and through a plurality of apertures 282 extending through the first showerhead 225 into the first chamber region 284. An additional flow distributor or baffle 215 having apertures 278 may further distribute a first feed gas flow 216 across the diameter of the etch chamber 200 through a distribution region 218. In an alternate embodiment, the first feed gas may be flowed directly into the first chamber region 284 via apertures 283 which are isolated from the second chamber region 281 as denoted by dashed line 223.

[0034] Chamber 200 may additionally be reconfigured from the state illustrated to perform an etching operation. A secondaiy electrode 205 may be disposed above the first showerhead 225 with a second chamber region 281 there between. The secondar ' electrode 205 may further form a lid or top plate of the etch chamber 200. The secondaiy electrode 205 and the first showerhead 225 may be electrically isolated by a dielectric ring 220 and form a second RF coupled electrode pair to capacitively discharge a second plasma 292 of a second feed gas within the second chamber region 281. Advantageously, the second plasma 292 may not provide a significant RF bias potential on the chuck 250. At least one electrode of the second RF coupled electrode pair may be coupled with an RF source for energizing an etching plasma. The secondary electrode 205 may be electrically coupled with the second showerhead 210. In an exemplar}' embodiment, the first showerhead 225 may be coupled with a ground plane or floating and may be coupled to ground through a relay 227 allowing the first showerhead 225 to also be powered by the RF power source 228 during the ion milling mode of operation. Where the first showerhead 225 is grounded, an RF power source 208, having one or more RF generators operating at 13.56 MHz or 60 MHz, for example, may be coupled with the secondary electrode 205 through a relay 207 which may allow the secondary electrode 205 to also be grounded during other operational modes, such as during an ion milling operation, although the secondary electrode 205 may also be left floating if the first showerhead 225 is powered. [0035] A second feed gas source, such as nitrogen trifluonde, and a hydrogen source, such as ammonia, may be delivered from gas distribution system 290, and coupled with the gas inlet 276 such as via dashed line 224. In this mode, the second feed gas may flow through the second showerhead 210 and may be energized in the second chamber region 281.

Reactive species may then pass into the first chamber region 284 to react with the substrate 202. As further illustrated, for embodiments where the first showerhead 225 is a multichannel showerhead, one or more feed gases may be provided to react with the reactive species generated by the second plasma 292. In one such embodiment, a water source may be coupled with the plurality of apertures 283. Additional configurations may also be based on the general illustration provided, but with various components reconfigured. For example, flow distributor or baffle 215 may be a plate similar to the second showerhead 210, and may be positioned between the secondary electrode 205 and the second showerhead 210. As any of these plates may operate as an electrode in various configurations for producing plasma, one or more annul ar or other shaped spacer may be positioned between one or more of these components, similar to dielectric ring 220. Second showerhead 210 may also operate as an ion suppression plate in embodiments, and may be configured to reduce, limit, or suppress the flow of ionic species through the second showerhead 210, while still allowing the flow of neutral and radical species. One or more additional showerheads or distributors may be included in the chamber between first showerhead 225 and chuck 250. Such a showerhead may take the shape or structure of any of the distribution plates or structures previously described. Also, in embodiments a remote plasma unit (not shown) may be coupled with the gas inlet to provide plasma effluents to the chamber for use in various processes.

[0036] In an embodiment, the chuck 250 may be movable along the distance H2 in a direction normal to the first showerhead 225. The chuck 250 may be on an actuated mechanism surrounded by a bellows 255, or the like, to allow the chuck 250 to move closer to or farther from the first showerhead 225 as a means of controlling heat transfer between the chuck 250 and the first showerhead 225, which may be at an elevated temperature of 80°C - 150°C, or more. As such, an etch process may be implemented by moving the chuck 250 between first and second predetermined positions relative to the first showerhead 225. Alternatively, the chuck 250 may include a lifter 251 to elevate the substrate 202 off a top surface of the chuck 250 by distance HI to control heating by the first showerhead 225 during the etch process. In other embodiments, where the etch process is performed at a fixed temperature such as about 90-110°C for example, chuck displacement mechanisms may be avoided. A system controller (not shown) may alternately energize the first and second plasmas 270 and 292 during the etching process by alternately powering the first and second RF coupled electrode pairs automatically.

[0037] The chamber 200 may also be reconfigured to perform a deposition operation. A plasma 292 may be generated in the second chamber region 281 by an RF discharge which may be implemented in any of the manners described for the second plasma 292. Where the first showerhead 225 is powered to generate the plasma 292 during a deposition, the first showerhead 225 may be isolated from a grounded chamber wall 240 by a dielectric spacer 230 so as to be electrically floating relative to the chamber wall. In the exemplary

embodiment, an oxidizer feed gas source, such as molecular oxygen, may be delivered from gas distribution system 290, and coupled with the gas inlet 276. In embodiments where the first showerhead 225 is a multi-channel showerhead, any silicon-containing precursor, such as OMCTS for example, may be delivered from gas distribution system 290, and directed into the first chamber region 284 to react with reactive species passing through the first showerhead 225 from the plasma 292. Alternatively the silicon-containing precursor may also be flowed through the gas inlet 276 along with the oxidizer. Chamber 200 is included as a general chamber configuration that may be utilized for various operations discussed in reference to the present technology. The chamber is not to be considered limiting to the technology, but instead to aid in understanding of the processes described. Several other chambers known in the art or being developed may be utilized with the present technology including any chamber produced by Applied Materials Inc. of Santa Clara, California, or any chamber that may perform the techniques described in more detail below.

[0038] FIG. 3 illustrates an etching method 300 that may be performed, for example, in the chamber 200 as previously described. Method 300 may include one or more operations prior to the initiation of th e method, including front end processing, deposition, etching, polishing, cleaning, or any other operations that may be performed prior to the described operations. A processed substrate, which may be a semiconductor wafer of any size, may be positioned within a chamber for the method 300. In embodiments the operations of method 300 may be performed in multiple chambers depending on the operations being performed. Additionally, in embodiments the entire method 300 may be performed in a single chamber to reduce queue times, contamination issues, and vacuum break. Subsequent operations to those discussed with respect to method 300 may also be performed in the same chamber or in different chambers as would be readily appreciated by the skilled artisan. [0039] Method 300 may include forming an inert plasma within a processing region of a semiconductor processing chamber at operation 305. A substrate may already be positioned within the chamber prior to operation 305. With reference to chamber 200 for illustration purposes only, the plasma may be formed or generated in region 270, or within a region defined at least in part by the substrate support pedestal. Such a plasma is similarly understood to be a wafer-level plasma. The effluents of the inert plasma may be utilized in method 300 for modifying a surface of an exposed material on a semiconductor substrate at operation 310. The substrate may be within or housed in the processing region of the semiconductor processing chamber.

[0040] A remote plasma may be formed at operation 315 to produce plasma effluents, and the remote plasma may include or be composed of a fluorine-containing precursor. In embodiments, the plasma utilized in operation 315 may also be formed at the wafer level, but a remote plasma may reduce a sputtering component at the wafer and from the chamber components. The plasma effluents may be flowed through the processing chamber to the processing region of the semiconductor processing chamber where the substrate is housed at operation 320. Upon contacting the modified surface, the plasma effluents may remove the modified surface of the exposed material from the semiconductor substrate at operation 325.

[0041] The modifying and removal operations of method 300 may allow a controlled removal of unwanted materials, such as a footing as described previously. The operations may also be well suited for any size features, including small pitch features, or the width between successive spacers for example, of less than or about 50 nm, less than or about 25 nm, less tha or about 20 nm, less than or about 15 nm, less than or about 12 nm, less than or about 10 nm, less than or about 9 nm, less than or about 8 nm, less than or about 7 nm, less than or about 6 nm, less than or about 5 nm, less than or about 4 nm, less than or about 3 nm, less than or about 2 nm, less than or about 1 nm, or smaller. The modifying and removal operations may be performed successively in multiple chambers or in a single chamber, such as, for example, chamber 200, that may produce both wafer-level plasmas and remote plasmas within the chamber, or in association with the chamber. [0042] The modifying operation 310 may involve an inert plasma of one or more materials. The material used to produce the plasma may be one or more noble materials including helium, neon, argon, krypton, xenon, or radon. The material used to produce the plasma may also be additional materials that may have limited chemical activity or be unreactive with the exposed material on the semiconductor surface being modified. For example, hydrogen may be used in operation 310, and in embodiments the inert plasma may either comprise or consist of a hydrogen plasma. The hydrogen plasma may be generated from any number of hydrogen containing materials or mixtures, and may be formed exclusively of hydrogen (I¾) in embodiments. The modifying operation may involve a form of bombardment of the material to be removed. With hydrogen being a small, light material, it may be less likely to sputter the material at which it is being directed than heavier materials such as, for example, helium.

[Θ043] The plasma formed from the inert precursor may be a bias plasma providing directional flow of plasma effluents to the substrate. The plasma may be a l ow-level plasma to limit the amount of bombardment, sputtering, and surface modification. In embodiments the plasma power may be less than or about 300 W, less than or about 250 W, less than or about 200 W, less than or about 150 W, less than or about 100 W, less than or about 75 W, less than or about 50 W, or less than or about 25 W. By utilizing a plasma power that is, for example, about 50 W, the depth of penetration of the plasma effluents may be limited. For example, modification operations as described, may allow the surface of the exposed material on the semiconductor substrate to be modified to a depth from the exposed surface within the semiconductor substrate of less than or about 4 nm, and may allow modification of the surface of materials to a depth of less than or about 3 nm, less than or about 2 nm, or less than or about 1 nm. For example, by utilizing the low-level plasma, such as at about 50 W, and a relatively light precursor such as hydrogen, the saturation depth of penetration may be around 1 nm in embodiments. The modification operation may be relatively or completely insensitive to temperature and material, and may modify or damage exposed regions of nitride, oxide, or polysilicon almost equally.

[0044] The pressure within the processing chamber may be controlled during the modification operation 310 as well. For example, while forming the inert plasma and performing the modification operation, the pressure within the processing chamber may be maintained below or about 1 Torr. Additionally, in embodiments, the pressure within the processing chamber may be maintained below or about 500 mTorr, below or about 250 niTorr, below or about 200 niTorr, below or about 150 niTorr, below or about 100 niTorr, below or about 80 mTorr, below or about 60 mTorr, below or about 50 rnTorr, below or about 40 mTorr, below or about 30 mTorr, below or about 20 mTorr, below or about 10 mTorr, or lower. The pressure within the chamber may affect the directionality of the modification operation 310. For example, as pressure is increased, the modification process may become more isotropic, and as the pressure is reduced, the modification process may become more anisotropic. Thus, as pressure is increased, the nitride spacer sidewalls may begin to be treated as well, which after removal can remove material beyond what was originally desired in certain operations. Accordingly, in embodiments the pressure may be maintained around 20 mTorr, for example, to maintain a relatively or substantially anisotropic profile of the modification operation.

[0045] The plasma utilized in the removal operation may be formed remotely from the processing region of the semiconductor processing chamber. For example, the plasma may be formed in a region of the semiconductor processing chamber that is fluidly isolated from the processing region of the semiconductor processing chamber. Thus, the region may be physically separated from the processing region, while being fluidly coupled with the processing region. For example, in the exemplary chamber of FIG. 2, the remote plasma may be generated in region 292, which is separated from the processing region by showerhead 225. Additionally, the remote plasma may be formed in a remote plasma unit, such as an RPS unit that is separate from the chamber, but fluidly coupled with the chamber to deliver plasma effluents into the chamber, such as through a lid, top plate, or showerhead.

[0046] The remote plasma may be formed from one or more precursors including a fluorine-containing precursor. The fluorine-containing precursor may include one or more materials including NF-,, HF, F 2 , CF4, CHF3, C 2 F 6 , C3F6, BrV CIF3, SF 6 , or additional fluorine-substituted hydrocarbons, or fluorine-containing materials. The fluorine-containing precursor may be flowed into the remote plasma region to generate plasma effluents, such as fluorine-containing plasma effluents. A source of hydrogen may also be incorporated as an etchant precursor, and may include hydrogen, ammonia, or any other incompletely substituted hydrocarbon, or other hydrogen-containing material. The source of hydrogen, such as ammonia, for example, may be delivered with the fluorine-containing precursor into the remote plasma region during plasma formation, and thus the plasma effluents may also include hydrogen-containing plasma effluents. The source of hydrogen may also bypass the remote plasma region and be delivered into the processing region where it may interact with the fluorine-containing plasma effluents. In either scenario, the plasma effluents may he delivered to the processing region of the semiconductor processing chamber where they may contact or interact with the modified material on the semiconductor substrate,

[0047] As previously discussed, in embodiments the exposed material may include an oxide footing located proximate or along a nitride spacer, which may be positioned along a semiconductor substrate. The precursors and plasma effluents may be effective at removing oxide and/or nitride in various semiconductor processes. Selective removal, however, may be affected by processing temperatures. Lower chamber temperatures may allow increased etching of one or more materials. In processes utilizing a fluorine-containing precursor and also a hydrogen-containing precursor to etch oxide materials, the process may involve performing an etch with plasma effluents, or with alternative precursors such as HF, at a low temperature, such as below about 50° C or lower, to form solid byproducts on the surface of the material being removed. The procedure may then involve heating the materials above around 100° C in order to sublimate the solid byproducts, which may include ammonium fluorosilicate, for example.

[0048] The present technology, however, may perform the removal process at a semiconductor substrate or semiconductor chamber temperature of above or about 50° C, above or about 60° C, above or about 70° C, above or about 80° C, above or about 90° C, above or about 100° C, above or about 110° C, above or about 120° C, above or about 130° C, above or about 140° C, or above or about 150° C. An etching process utilizing the precursors discussed above may have limited capability, or may not etch certain materials at all at a temperature of about 100° C, for example. While conventional technologies may avoid such temperatures as they may prevent the desired removal, the present technology can utilize this benefit to provide a self-limiting stop on the etching operation. Although unmodified oxide and nitride materials may not etch with the removal process described at a temperature of 100° C, the modified materials produced may etch at a sufficient rate to remove the unwanted materials, such as the oxide footing discussed previously.

[0049] Thus, once the modified portion of the exposed materials has been removed, the underlying unmodified materials may not etch, or may have limited etching, and may effectively halt the etching process. In this way, minute amounts of material may be removed without overly attacking thin semiconductor layers or small pitch features. Accordingly, in embodiments, removing the modified surface of the exposed material may expose an unmodified portion of the material. An etching selectivity of a modified portion of the material to an unmodified portion of the material may be greater than or about 10: 1,

Depending on the material being etched, an etching selectivity of a modified portion of the material to an unmodified portion of the material may be greater than or about 20: 1, 40: 1, 100: 1, 1,000: 1, 10,000: 1 , up to about 1 :( ) at which point the modified portion of the material etches, but an unmodified portion of the material does not etch. The modification operation may produce an amount of dangling bonds and reactive sites for the modified material, which may allow the removal operation to occur under conditions at which the removal may not otherwise occur, or may occur at substantially reduced rates and selectivities for unmodified materials.

[0050] As previously explained, the modification operations may be performed at a relati v ely low plasma power level to create a depth of penetration within the exposed material surfaces of a few nanometers or less, such as about 1 nm to about 2 nrn. Because the removal operation can be limited to essentially only remove modified surfaces, or have limited impact on unmodified surfaces, the removal operation may be limited to the modified region, and thus remove about 1 nm, about 2 nm, about 3 nm, or about 4 nm of material. The

modification operation may have a saturation depth of about 1 nm in embodiments, but an amount of modification or penetration may occur to up to 2 nm, up to 3 nm, or up to 4 nm, although the saturation depth may be much less. However, the removal operation may continue to etch partially modified regions of material, and thus the removal operation may remove slightly more material than the saturation depth of the modification.

[0051] To ensure removal of all footing or unwanted material from a substrate, the modification and removal operations may be performed in cycles to allow removal to a depth beyond the typical saturation depth of the modification operation. Accordingly, in

embodiments, method 300 may be performed for 1 cycle, 2 cycles, 3 cycles, 4 cycles, 5 cycles, or more in order to fully remove a material from a substrate. For footing removal, the fine-tune control over the material removal based on a saturation depth of the modification operation may allow about 1 nrn, about 2 nm, about 3 nm, or about 4 nm to be removed each cycle. [0052] In this way, within 1 cycle, within 2 cycles, within 3 cycles, or within about 4 cycles the entire footing may be removed from the substrate at a total removal after all cycles of less than or about 20 nm, less than or about 15 nm, less than or about 12 nm, less than or about 1 1 nm, less than or about 10 nm, less than or about 9 nm, less than or about 8 nm, less than or about 7 nm, less than or about 6 nm, less than or about 5 nm, less than or about 4 nm, less than or about 3 nm, l ess tha or about 2 nm, or l ess tha or about 1 nm. The operations are being discussed with respect to a limited amount of removal, but the techniques can also be used to remove additional material by, for example, causing the modification to occur to a lower depth, increasing the number of cycles, or by adjusting etching parameters including temperature. However, for limiting the amount of removal in many semiconductor processing operations, the low-power bias plasma with precursors such as previously discussed may allow limited material to be removed with each cycle. [0053] Turning to FIGS. 4A-4D is illustrated cross-sectional views of a semiconductor substrate on which operations of the present technology are being performed. FIG. 4 A illustrates a formation process that may include a pad oxide 405 on which polysilicon lines 415 have been formed. A layer of nitride 410, such as silicon nitride may be deposited over the surface of the structure. In FIG. 4B, one or more removal operations may have been performed to remove the connecting portions of silicon nitride layer 410 as well as the polysilicon lines 415. Nitride spacers 412 remain on the pad oxide 405. The process to remove the nitride may not be perfectly uniform, and thus areas such as edge regions or gap regions may etch more slowly than other regions. Accordingly, the process may involve an overetch performed to ensure that the nitride layer 410 is fully removed between the areas that are to become the nitride spacers 412,

[Θ054] This overetch process may be selective to nitride, silicon, or other materials over silicon oxide or the material composing pad oxide 405. When performed, such as if it is a plasma process or reactive process, for example, the overetch operations may produce sputtering of the pad oxide 405. The sputtered portions may redeposit along the sidewalls of the nitride spacers in the regions that were previously the gaps between the polysilicon lines as well as in the core regions after the polysilicon or other dummy material has been removed. The redeposited sputtered material may produce a footing 407 along the sidewalls or comers of the nitride spacers, or produce the footing about an intersection of the nitride spacer with a surface of the pad oxide from which the nitride spacer extends. This redeposition may be inconsistent between spacers, which may cause complications in later processing if it is not removed when formed. For example, this may cause inconsistent processing such that later operations may produce different widths between core regions and gap regions, which may then be reversed with subsequent operations. This phenomenon is commonly known as pitch walking and may be detrimental to the overall integrated circuit. Removal of footing may be difficult conventionally, however, without additional masking or etching operations that may further reduce or damage the spacers, pad oxide, or other structures. [0055] FIG. 4C illustrates aspects of an etching method according to the present

technology. For example, an inert plasma may be formed within a processing region of a semiconductor processing chamber. The inert plasma may produce effluents 420 that are directed to the surface of the semiconductor substrate and the exposed materials thereon. These effluents of the inert plasma 420 may be used to modify an exposed portion of silicon oxide, such as footing 407, on the semiconductor substrate within the processing region of the semiconductor processing chamber. The plasma may be a bias plasma formed from hydrogen (I¾) or one or more other inert precursors as previously described. The power level of the bias plasma may be less than or about 100 W, and may be around 50 W in

embodiments. [0056] The modification operation may be performed for about 0 seconds or less up to several minutes or more depending on the depth of penetration sought and the parameters of the modification. A low pressure may be maintained within the processing chamber, such as about 20 m ' Torr, for example, to produce a relatively anisotropic deliver} ' of plasma effluents 420 as illustrated. The plasma effluents may modify exposed portions of footing 407, pad oxide 405, and nitride spacers 412. The depth of penetration may be limited to about 1 nm in embodiments, but may extend to a depth of about 2 nm, about 3 nm, about 4 nm, about 5 nm, or more.

[0057] Operations may include forming a plasma of a fluorine-containing precursor in a remote region of the semiconductor processing chamber, where the remote region may be separated from the processing region of the semiconductor processing chamber by a showerhead. The modified regions of pad oxide 405, footing 407, and nitride spacers 412 may be contacted with plasma effluents of the fluorine-containing precursor. Etching with the plasma effluents may be performed on the modified silicon oxide, and the temperature of the substrate or chamber during the etching may be about 100° C, which may allow etching of the modified layers while limiting or preventing etching of the unmodified regions of each material underlying the modified portions. Additionally, because the etching operations may be performed at about 100° C, limited solid byproducts, or no solid byproducts, may be produced during the etching operations. As shown in FIG. 4D, these operations may fully remove the footing about the nitride spacers as well as removing the modified regions of the nitride spacers 412 and pad oxide 405a. It is to be understood that FIG. 4D is intended only as an illustration of the process, and does not necessarily accurately show the depth of etching. FIG. 4D may show* an exaggerated profile of the pad oxide for the sake of illustration of the methods according to the present technology.

[0058] The plasma effluents used in the etching operations may be formed in a remote region of the semiconductor processing chamber fluidly coupled with, and physically separated from, the processing region of the semiconductor processing chamber. Thus, the remote region of the semiconductor processing chamber may be a region defined within the semiconductor processing chamber. Additionally, the remote region of the semiconductor processing chamber may be a region external to the semiconductor processing chamber, but fluidly coupled with an inlet to the semiconductor processing chamber. For example, the fluorine-containing plasma effluents may be produced in a remote plasma unit coupled with the semiconductor processing chamber. Either of these configurations may prevent or reduce sputtering at the wafer level during the etching, and reduce contaminant deposition or production within the processing region.

[0059] The plasma effl uents of the fluorine-containing precursor may be produced by a capacitively-coupled plasma in embodiments, or may be produced by an inductively-coupled plasma, or other plasma generating process. The power le v el of the plasma may be less than or about 1000 W in embodiments, and may be less than or about 900 W, less than or about 800 W, less than or about 700 W, less than or about 600 W, less than or about 500 W, less than or about 400 W, less than or about 300 W, less than or about 200 W, or less than or about 100 W. For example, the power level may be about 300 W to control plasma dissociation of the materials, which may provide additional control over the etching characteristics such as by, for example, not fully dissociating all fluorine-containing precursors used in the operations. However, in embodiments full dissociation may be desired, and higher plasma power levels may be used.

[0060] The precursors used in the etching operations of the modified silicon oxide may additionally include a hydrogen-containing precursor, that may also contact the modified materials. The hydrogen-containing precursor may be included with the fluorine-containing precursor to produce plasma effluents of all precursors. The hydrogen-containing precursor may also bypass the remote region of the semiconductor processing chamber in

embodiments. For example, the hydrogen-containing precursor may be delivered to the processing chamber at a port or channel downstream from the remote plasma generation. The hydrogen-containing precursor may interact with the plasma effluents of the fluorine- containing precursor subsequent to the plasma effluents of the fluorine-containing precursor exiting the remote region of the semiconductor processing chamber in embodiments.

[0061] The etching performed on the modified portions of the semiconductor substrate may be performed in a way to limit the removal of unmodified material underlying the modified material, or separate from the unwanted material, such as the footing. As previously discussed, the temperature at which the etching is performed may affect whether the unmodified material is etched once exposed, or to what degree it is etched. In FIG, 5 is shown a chart illustrating the comparative etch rates of multiple materials that have been modified and unmodified according to embodiments of the present technology. As shown in the chart, the depth of etching is shown for polysilicon, silicon oxide, and silicon nitride. Along the X-axis is shown the time of etchant production from a remote plasma of a fluorine- containing precursor. The operations performed included a film modification operation as previously described from a hydrogen plasma, followed by a removal operation utilizing plasma effluents of nitrogen trifluoride and ammonia, which were produced in a plasma remote from the processing region of the semiconductor processing chamber. [0062] As illustrated, the etching operation began at time zero, when the plasma was formed and the etchant precursors were delivered to the plasma region. At about 5 seconds, the modified regions of silicon oxide, silicon nitride, and polysilicon had been fully removed. After this time, no further etching of silicon oxide occurred, and minimal removal of silicon nitride occurred. Polysilicon continued to etch with continued deliver}' of plasma effluents. Thus, the material modification and removal operations discussed throughout the present disclosure were capable of performing the entire removal of materials illustrated from FIG. 4A through nitride spacer formation. The selectivity determined for modified and unmodified materials for the present technology was 19.8: 1 for polysilicon, 42,7: 1 for silicon nitride, and 100:0 for silicon oxide. Thus, infinite selectivity for modified silicon oxide was produced in the discussed methods, and virtually infinite or infinite selectivity of modified silicon oxide to unmodified silicon oxide may allow the removal of discrete regions of silicon oxide, such as footing, from substrate surfaces. The temperature during the removal operation was maintained at 100° C, which may contribute to the etching operation removing no or minimal unmodified material. As the processing temperatures is reduced below about 100° C, and more so below about 50° C, the underlying, unmodified portions may etch as well, reducing the efficiency of the treatment, and possibly preventing suitable outcomes of material removal. [0063] In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present technology, it will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details.

[0064] Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the embodiments. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present technology. Accordingly, the above description should not be taken as limiting the scope of the technology. [0065] Where a range of values is provided, it is understood that each intervening value, to the smallest fraction of the unit of the lower limit, unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Any narrower range between any stated values or unstated intervening values in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of those smaller ranges may independently be included or excluded in the range, and each range where either, neither, or both limits are included in the smaller ranges is also encompassed within the technology, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included. [0066] As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural references unless the context clearly dictates otherwise. Thus, for example, reference to "a layer" includes a plurality of such layers, and reference to "the precursor" includes reference to one or more precursors and equivalents thereof known to those skilled in the art, and so forth. [0067] Also, the words "comprise(s)", "comprising", "contain(s)", "containing",

"mclude(s)", and "including", when used in this specification and in the following claims, are intended to specify the presence of stated features, integers, components, or operations, but they do not preclude the presence or addition of one or more other features, integers, components, operations, acts, or groups.