Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
FULL BEAM METROLOGY FOR X-RAY SCATTEROMETRY SYSTEMS
Document Type and Number:
WIPO Patent Application WO/2018/075551
Kind Code:
A1
Abstract:
Methods and systems for characterizing dimensions and material properties of semiconductor devices by full beam x-ray scatterometry are described herein. A full beam x-ray scatterometry measurement involves illuminating a sample with an X-ray beam and detecting the intensities of the resulting zero diffraction order and higher diffraction orders simultaneously for one or more angles of incidence relative to the sample. The simultaneous measurement of the direct beam and the scattered orders enables high throughput measurements with improved accuracy. The full beam x-ray scatterometry system includes one or more photon counting detectors with high dynamic range and thick, highly absorptive crystal substrates that absorb the direct beam with minimal parasitic backscattering. In other aspects, model based measurements are performed based on the zero diffraction order beam, and measurement performance of the full beam x-ray scatterometry system is estimated and controlled based on properties of the measured zero order beam.

Inventors:
GELLINEAU ANTONIO (US)
DZIURA THADDEUS GERARD (US)
HENCH JOHN (US)
VELDMAN ANDREI (US)
ZALUBOVSKY SERGEY (US)
Application Number:
PCT/US2017/057034
Publication Date:
April 26, 2018
Filing Date:
October 17, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
KLA TENCOR CORP (US)
International Classes:
H01J37/252; H01J37/04
Domestic Patent References:
WO2016161544A12016-10-13
WO2016090349A12016-06-09
Foreign References:
US9243886B12016-01-26
US20160202193A12016-07-14
US20160282282A12016-09-29
Attorney, Agent or Firm:
MCANDREWS, Kevin et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A metrology system comprising:

an x-ray illumination source configured to generate an amount of x-ray radiation;

an x-ray illumination optics subsystem configured to illuminate a measurement target formed on a wafer surface with a focused beam of the amount of x-ray radiation at a plurality of orientations with respect to the measurement target ;

an x-ray detector configured to simultaneously detect an intensity associated with a zero diffraction order and an intensity associated with a higher diffraction order of an amount of radiation scattered from the measurement target in response to the incident beam of x-ray radiation at each orientation; and

a computing system configured to

determine a value of a parameter of interest associated with a model of the measurement target based on the detected intensities of the diffraction orders at the plurality of different orientations.

2. The metrology system of Claim 1, wherein the zero diffraction order and the higher diffraction order overlap at the x-ray detector.

3. The metrology system of Claim 1, wherein the determining of the value of the parameter of interest involves a divergence of the incident beam of x-ray radiation .

4. The metrology system of Claim 1, wherein the computing system is further configured to:

determine an indication of measurement quality and performance based on a property of the detected zero diffraction order.

5. The metrology system of Claim 4, wherein the indication of measurement quality and performance is any of an alignment of the x-ray detector to an axis of the incident beam, a brightness of the x-ray illumination source, an alignment of the x-ray illumination source, an element of the x-ray illumination optics subsystem, or both .

6. The metrology system of Claim 4, wherein the computing system is further configured to:

communicate a command signal to an element of the metrology system to adjust the metrology system based on the indication of measurement quality and performance.

7. The metrology system of Claim 1, wherein the computing system is further configured to:

determine a model of the higher diffraction order based on a measured profile of the zero diffraction order.

8. The metrology system of Claim 1, wherein the computing system is further configured to:

divide the intensity of the higher diffraction order by the intensity of the zero diffraction order.

9. The metrology system of Claim 1, wherein a photo¬ sensitive volume of the the x-ray detector includes Cadmium Telluride, Germanium, Gallium Arsenide, or any combination thereof .

10. The metrology system of Claim 1, wherein the measurement target includes one or more high aspect ratio structures .

11. The metrology system of Claim 10, wherein the one or more high aspect ratio structures is any of a spin transfer torque random access memory (STT-RAM) , a three dimensional NAND memory (3D-NAND) , a dynamic random access memory (DRAM) , a three dimensional FLASH memory (3D-FLASH) , resistive random access memory (Re-RAMPC) , and a phase change random access memory (PC-RAM) .

12. The metrology system of Claim 1, wherein the x-ray illumination source includes any of a liquid metal jet x- ray illumination source, a solid anode x-ray illumination source, and an inverse Compton x-ray illumination source.

13. The metrology system of Claim 1, wherein the determining the at least one parameter of interest involves a fitting analysis of the detected intensities of the diffraction orders with a geometrically parameterized response model.

14. The metrology system of Claim 1, wherein the computer subsystem is further configured to determine a multi-dimensional image of the measurement target based on the detected intensities of the diffraction orders at the plurality of different orientations.

15. A method comprising:

illuminating a measurement target formed on a wafer surface with a focused beam of x-ray radiation at a

plurality of different orientations with respect to the measurement target;

simultaneously detecting an intensity associated with a zero diffraction order and an intensity associated with a higher diffraction order of an amount of radiation

scattered from the measurement target in response to the incident beam of x-ray radiation at each orientation; and determining a value of a parameter of interest associated with a model of the measurement target based on the detected intensities of the diffraction orders at the plurality of different orientations.

16. The method of Claim 15, further comprising:

determining an indication of measurement quality and performance based on a property of the detected zero diffraction order.

17. The method of Claim 16, wherein the indication of measurement quality and performance is any of an alignment of the x-ray detector to an axis of the incident beam, a brightness of the x-ray illumination source, an alignment of the x-ray illumination source, an element of the x-ray illumination optics subsystem, or both.

18. The method of Claim 16, further comprising:

communicating a command signal to an element of the metrology system to adjust the metrology system based on the indication of measurement quality and performance.

19. The method of Claim 15, further comprising:

determining a model of the higher diffraction order based on a measured profile of the zero diffraction order.

20. The method of Claim 15, further comprising:

dividing the intensity of the higher diffraction order by the intensity of the zero diffraction order.

21. A metrology system comprising:

an x-ray illumination source configured to generate an amount of x-ray radiation;

an x-ray illumination optics subsystem configured to illuminate a measurement target formed on a wafer surface with a focused beam of the amount of x-ray radiation at a plurality of orientations with respect to the measurement target ;

an x-ray detector configured to simultaneously detect an intensity associated with a zero diffraction order and an intensity associated with a higher diffraction order of an amount of radiation scattered from the measurement target in response to the incident beam of x-ray radiation at each orientation; and

a non-transitory, computer-readable medium, comprising:

code for causing a computing system to determine a value of a parameter of interest associated with a model of the measurement target based on the detected intensities of the diffraction orders at the plurality of orientations.

22. The metrology system of Claim 21, wherein the zero diffraction order and the higher diffraction order overlap at the x-ray detector.

Description:
FULL BEAM METROLOGY FOR X-RAY SCATTEROMETRY SYSTEMS

CROSS REFERENCE TO RELATED APPLICATION

[0001] The present application for patent claims

priority under 35 U.S.C. §119 from U.S. provisional patent application serial number 62/409,758, filed October 18, 2016, the subject matter of which is incorporated herein by reference in its entirety.

TECHNICAL FIELD

[0002] The described embodiments relate to metrology systems and methods, and more particularly to methods and systems for improved measurement accuracy.

BACKGROUND INFORMATION

[0003] Semiconductor devices such as logic and memory devices are typically fabricated by a sequence of

processing steps applied to a specimen. The various features and multiple structural levels of the

semiconductor devices are formed by these processing steps. For example, lithography among others is one semiconductor fabrication process that involves generating a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing, etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then

separated into individual semiconductor devices.

[0004] Metrology processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to promote higher yield. A number of metrology based techniques including scatterometry and reflectometry implementations and associated analysis algorithms are commonly used to characterize critical dimensions, film thicknesses, composition and other

parameters of nanoscale structures.

[0005] Traditionally, scatterometry critical dimension (SCR) measurements are performed on targets consisting of thin films and/or repeated periodic structures. During device fabrication, these films and periodic structures typically represent the actual device geometry and material structure or an intermediate design. As devices (e.g., logic and memory devices) move toward smaller nanometer- scale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometry and materials with diverse physical properties contribute to characterization difficulty. For example, modern memory structures are often high-aspect ratio, three-dimensional structures that make it difficult for optical radiation to penetrate to the bottom layers. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths that provide good depth of penetration do not provide sufficient sensitivity to small anomalies. In addition, the increasing number of parameters required to

characterize complex structures (e.g., FinFETs) , leads to increasing parameter correlation. As a result, the

parameters characterizing the target often cannot be reliably decoupled with available measurements.

[0006] In one example, longer wavelengths (e.g. near infrared) have been employed in an attempt to overcome penetration issues for 3D FLASH devices that utilize polysilicon as one of the alternating materials in the stack. However, the mirror like structure of 3D FLASH intrinsically causes decreasing light intensity as the illumination propagates deeper into the film stack. This causes sensitivity loss and correlation issues at depth. In this scenario, SCD is only able to successfully extract a reduced set of metrology dimensions with high sensitivity and low correlation.

[0007] In another example, opaque, high-k materials are increasingly employed in modern semiconductor structures. Optical radiation is often unable to penetrate layers constructed of these materials. As a result, measurements with thin-film scatterometry tools such as ellipsometers or reflectometers are becoming increasingly challenging.

[0008] In response to these challenges, more complex optical metrology tools have been developed. For example, tools with multiple angles of illumination, shorter

illumination wavelengths, broader ranges of illumination wavelengths, and more complete information acquisition from reflected signals (e.g., measuring multiple Mueller matrix elements in addition to the more conventional reflectivity or ellipsometric signals) have been developed. However, these approaches have not reliably overcome fundamental challenges associated with measurement of many advanced targets (e.g., complex 3D structures, structures smaller than 10 nm, structures employing opaque materials) and measurement applications (e.g., line edge roughness and line width roughness measurements) .

[0009] Atomic force microscopes (AFM) and scanning- tunneling microscopes (STM) are able to achieve atomic resolution, but they can only probe the surface of the specimen. In addition, AFM and STM microscopes require long scanning times. Scanning electron microscopes (SEM) achieve intermediate resolution levels, but are unable to penetrate structures to sufficient depth. Thus, high- aspect ratio holes are not characterized well. In

addition, the required charging of the specimen has an adverse effect on imaging performance. X-ray

reflectometers also suffer from penetration issues that limit their effectiveness when measuring high aspect ratio structures .

[0010] To overcome penetration depth issues, traditional imaging techniques such as TEM, SEM etc., are employed with destructive sample preparation techniques such as focused ion beam (FIB) machining, ion milling, blanket or selective etching, etc. For example, transmission electron

microscopes (TEM) achieve high resolution levels and are able to probe arbitrary depths, but TEM requires

destructive sectioning of the specimen. Several iterations of material removal and measurement generally provide the information required to measure the critical metrology parameters throughout a three dimensional structure. But, these techniques require sample destruction and lengthy process times. The complexity and time to complete these types of measurements introduces large inaccuracies due to drift of etching and metrology steps. In addition, these techniques require numerous iterations which introduce registration errors.

[0011] X-Ray scatterometry systems have shown promise to address challenging measurement applications. However current implementations block zero order light and collect only the scattered orders. This approach introduces a number of drawbacks. First, the direct beam and scattered orders are not collected simultaneously. Second, high signal to noise ratio (SNR) beam and system information are lost as the direct beam is many orders brighter than the collected scattered orders. Finally, signal information embedded in the total scattered light as a function of the scattering angles is lost.

[0012] In spite of these deficiencies, measurements that rely on scattered orders only provide enough signal

information to determine some specimen properties of interest as long as the incident flux is very stable or well characterized. In some examples, the incident flux is measured periodically, so that flux deviations can be corrected in the measurement. However, in some measurement applications this approach is too slow, insufficiently accurate, or both.

[0013] In current x-ray scatterometry systems, the direct beam is blocked for several reasons. For one, the available detectors are unable to resolve signals with large dynamic range. In typical semiconductor measurement applications, scattered signals are typically five to seven orders of magnitude less than the direct beam. In

addition, the relatively high flux direct beam can trap charge in the detector or saturate the sensor beyond the damage threshold.

[0014] To further improve device performance, the semiconductor industry continues to focus on vertical integration, rather than lateral scaling. Thus, accurate measurement of complex, fully three dimensional structures is crucial to ensure viability and continued scaling improvements. Future metrology applications present challenges for metrology due to increasingly small

resolution requirements, multi-parameter correlation, increasingly complex geometric structures including high aspect ratio structures, and increasing use of opaque materials. Thus, methods and systems for improved x-ray scatterometry measurements are desired.

SUMMARY

[0015] Methods and systems for characterizing dimensions and material properties of semiconductor devices by full beam x-ray scatterometry are described herein.

[0016] In one aspect, a full beam x-ray scatterometry measurement involves illuminating a sample with an X-ray beam and detecting the intensities of the resulting zero diffraction order and higher diffraction orders

simultaneously for one or more angles of incidence relative to the sample. The simultaneous measurement of the direct beam and the scattered orders enables high throughput measurements with improved accuracy.

[0017] In another aspect, a full beam x-ray

scatterometry system includes one or more photon counting detectors with high dynamic range (e.g., greater than 10 5 ) and thick, highly absorptive crystal substrates that absorb the direct beam without damage and with minimal parasitic backscattering . In some embodiments, a single photon counting detector detects the position and number of detected photons. In some embodiments, the x-ray detector resolves one or more x-ray photon energies.

[0018] In a further aspect, the detector is scanned relative to the incoming X-rays to mitigate damage or excessive charging from the incident zero order beam.

[0019] In another further aspect, overlapping

diffraction orders on the detector are deconvolved based on the measured zero order beam shape. [0020] In another further aspect, the zero order beam profile is extracted during measurements to mitigate drift during measurement.

[0021] In another further aspect, the intensity of higher diffraction orders is estimated relative to the measured zero order beam. In some embodiments, the

intensity of each higher diffraction order is estimated relative to the measured zero order beam by simple division of intensity, or otherwise. In this manner, measurement uncertainty associated with the relatively weak, higher order signals is significantly reduced.

[0022] In another aspect, the measurement quality and performance of the full beam x-ray scatterometry system is estimated based on properties of the measured zero order beam. The measured properties of the zero order beam include, but are not limited to beam shape, intensity, location, profile, tilt, rotation, asymmetry, or any combination thereof.

[0023] In a further aspect, the measurement quality and performance of the metrology system is controlled based on the measured zero order beam. In some examples, the estimates of measurement quality and performance described hereinbefore are provided as input to a feedback

controller. The feedback controller communicates control commands that result in changes in state of one or more elements of the metrology system that improves measurement system quality and performance.

[0024] In some examples, metrology based on full beam x- ray scatterometry involves determining the dimensions of the sample by the inverse solution of a pre-determined measurement model with the measured data. The measurement model includes a few (on the order of ten) adjustable parameters and is representative of the geometry and optical properties of the specimen and the optical

properties of the measurement system. The method of inverse solve includes, but is not limited to, model based regression, tomography, machine learning, or any

combination thereof. In this manner, target profile parameters are estimated by solving for values of a

parameterized measurement model that minimize errors between the measured scattered x-ray intensities and modeled results.

[0025] In another further aspect, the measured zero order intensity is provided as input to the measurement model during regression.

[0026] In another further aspect, the divergence of the measured orders is considered in the measurement model associated with a model based measurement of the metrology target .

[0027] In another further aspect, a metrology system is configured to generate a structural model (e.g., geometric model, material model, or combined geometric and material model) of a measured structure of a specimen, generate a full beam x-ray scatterometry response model that includes at least one geometric parameter from the structural model, and resolve at least one specimen parameter value by performing a fitting analysis of measurement data with the response model. In this manner, a comparison of simulated full beam x-ray scatterometry signals with measured data enables the determination of geometric as well as material properties such as electron density and elemental

identification and composition of the sample.

[0028] In further aspect, an initial estimate of values of one or more parameters of interest is determined based on full beam x-ray scatterometry measurements performed at a single orientation of the incident x-ray beam with respect to the measurement target. The initial, estimated values are implemented as the starting values of the parameters of interest for a regression of the measurement model with measurement data collected from measurements at multiple orientations. In this manner, a close estimate of a parameter of interest is determined with a relatively small amount of computational effort, and by implementing this close estimate as the starting point for a regression over a much larger data set, a refined estimate of the parameter of interest is obtained with less overall

computational effort.

[0029] In a further aspect, full beam x-ray

scatterometry measurement data is used to generate an image of a measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, a response function model is generalized to describe the scattering from a generic electron density mesh. Matching this model to the measured signals, while constraining the modelled electron densities in this mesh to enforce

continuity and sparse edges, provides a three dimensional image of the sample.

[0030] The foregoing is a summary and thus contains, by necessity, simplifications, generalizations and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not limiting in any way. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein. BRIEF DESCRIPTION OF THE DRAWINGS

[0031] FIG. 1 is a diagram illustrative of a metrology system 100 configured to perform full beam x-ray

scatterometry measurements in accordance with the methods described herein.

[0032] FIG. 2 is a diagram illustrative of a metrology system 200 in another embodiment configured to perform full beam x-ray scatterometry measurements in accordance with the methods described herein.

[0033] FIG. 3 depicts an image 171 of scattered orders measured by a full beam metrology system such as metrology system 100.

[0034] FIG. 4 depicts an image 172 of scattered orders measured by a full beam metrology system such as metrology system 100.

[0035] FIG. 5 depicts a plot 173 of the intensity profile associated with the cross-section, C, of image 172 depicted in FIG. 4.

[0036] FIG. 6 depicts the scattering efficiency of a zero order beam as a function of angle of incidence.

[0037] FIG. 7 depicts the scattering efficiency of several higher orders as a function of angle of incidence.

[0038] FIG. 8 is a diagram illustrative of elements of metrology systems 100 and 200 contained in vacuum

environments separate from specimen 101.

[0039] FIG. 9 is a diagram illustrative of a model building and analysis engine 150 configured to resolve specimen parameter values based on full beam x-ray

scatterometry data in accordance with the methods described herein .

[0040] FIGS. lOA-lOC depict an isometric view, a top view, and a cross-sectional view, respectively, of a typical 3D FLASH memory device 190 subject to measurement in the manner described herein.

[0041] FIG. 11 depicts x-ray illumination beam 117 incident on wafer 101 at a particular orientation described by angles φ and Θ.

[0042] FIG. 12 depicts a top view of an array of high aspect ratio hole structures 310.

[0043] FIG. 13A depicts a side view of an ideal high aspect ratio hole structure 320.

[0044] FIG. 13B depicts a side view of a tilted hole structure 321.

[0045] FIG. 13C depicts a side view of a progressively tilted hole structure 322, where the degree of tilt

progressively increases with depth.

[0046] FIG. 14 depicts a flowchart illustrative of an exemplary method 300 of measuring structures based on full beam x-ray scatterometry measurements as described herein.

DETAILED DESCRIPTION

[0047] Reference will now be made in detail to

background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings .

[0048] Methods and systems for characterizing dimensions and material properties of semiconductor devices by full beam x-ray scatterometry are described herein. Such systems and techniques are employed to measure structural and material characteristics associated with different semiconductor fabrication processes. In some examples, full beam x-ray scatterometry is employed to measure critical dimensions, thicknesses, overlay, and material properties of high aspect ratio semiconductor structures including, but not limited to, spin transfer torque random access memory (STT-RAM) , three dimensional NAND memory (3D- NAND) or vertical NAND memory (V-NAND) , dynamic random access memory (DRAM) , three dimensional FLASH memory (3D- FLASH) , resistive random access memory (Re-RAM) , and phase change random access memory (PC-RAM) .

[0049] In one aspect, a full beam x-ray scatterometry measurement involves illuminating a sample with an X-ray beam and detecting the intensities of the resulting zero order and higher diffraction orders simultaneously for one or more angles of incidence relative to the sample. The simultaneous measurement of the direct beam and the

scattered orders enables high throughput measurements with improved accuracy. In some embodiments, the Oth order beam is made available by performing measurements without a canonical beam block.

[0050] The use of high brightness, full beam x-ray scatterometry enables high flux x-ray radiation penetration into opaque areas of the target. Examples of measureable geometric parameters using full beam x-ray scatterometry includes pore size, pore density, line edge roughness, line width roughness, side wall angle, profile, critical

dimension, overlay, edge placement error, and pitch. An example of a measureable material parameter includes electron density. In some examples, full beam x-ray scatterometry enables the measurement of features smaller than 10 nm as well as advanced semiconductor structures such as STT-RAM, V-NAND, DRAM, PC-RAM and Re-RAM, where measurements of geometrical parameters and material

parameters are needed.

[0051] Typical x-ray scatterometry systems employ a beam block to block the zero order beam while higher diffraction orders are collected. However, in many semiconductor metrology applications, this prevents successful

measurement. For logic devices in the back-end-of-line (BEOL) portion of the processing flow, as well as memory (e.g., VNAND and DRAM) in the front-end-of-line (FEOL) portion of the processing flow, pattern pitch values are such that with typical CD-SAXS systems the 1st diffraction order and the zero order experience a significant amount of spatial overlap on the detector. If a beam block is used to reject the zero order, a portion of the 1st order beam is rejected as well. This causes an error in the

measurement of the 1st order beam intensity and an error in any x-ray scatterometry measurement that relies on the 1st order beam. Typically, the 1st order beam has much greater diffraction efficiency than higher order beams. Thus, the 1 st order beam is critical to measurement success. Without the 1st order beam, the measurement must rely on higher orders only. This significantly increases measurement time to achieve adequate signal to noise ratio (SNR) because the diffraction efficiency of orders higher than one is much weaker than the 1 st diffraction order.

[0052] FIG. 1 illustrates an embodiment of a metrology tool 100 for measuring characteristics of a specimen in accordance with the exemplary methods presented herein. As shown in FIG. 1, the system 100 may be used to perform full beam x-ray scatterometry measurements over an inspection area 102 of a specimen 101 disposed on a specimen

positioning system 140. In some embodiments, the

inspection area 102 has a spot size of eighty micrometers or less. In some embodiments, the inspection area 102 has a spot size of fifty micrometers or less. In some embodiments, the inspection area 102 has a spot size of forty micrometers or less.

[0053] In the depicted embodiment, metrology tool 100 includes an x-ray illumination source 110 configured to generate x-ray radiation suitable for full beam x-ray scatterometry measurements. In some embodiments, the x-ray illumination system 110 is configured to generate

wavelengths between 0.01 nanometers and 1 nanometer. X-ray illumination source 110 produces an x-ray beam 117 incident on inspection area 102 of specimen 101.

[0054] In general, any suitable high-brightness x-ray illumination source capable of generating high brightness x-rays at flux levels sufficient to enable high-throughput, inline metrology may be contemplated to supply x-ray illumination for full beam x-ray scatterometry

measurements. In some embodiments, an x-ray source

includes a tunable monochromator that enables the x-ray source to deliver x-ray radiation at different, selectable wavelengths .

[0055] In some embodiments, one or more x-ray sources emitting radiation with photon energy greater than 15keV are employed to ensure that the x-ray source supplies light at wavelengths that allow sufficient transmission through the entire device as well as the wafer substrate. By way of non-limiting example, any of a particle accelerator source, a liquid anode source, a rotating anode source, a stationary, solid anode source, a microfocus source, a microfocus rotating anode source, and an inverse Compton source may be employed as x-ray source 110. In one

example, an inverse Compton source available from Lyncean Technologies, Inc., Palo Alto, California (USA) may be contemplated. Inverse Compton sources have an additional advantage of being able to produce x-rays over a range of photon energies, thereby enabling the x-ray source to deliver x-ray radiation at different, selectable

wavelengths .

[0056] Exemplary x-ray sources include electron beam sources configured to bombard solid or liquid targets to stimulate x-ray radiation. FIG. 2 depicts a metrology tool 200 for measuring characteristics of a specimen in

accordance with the exemplary methods presented herein. Like numbered elements of metrology tool 100 and 200 are analogous. However, in the embodiment depicted in FIG. 2, x-ray illumination source 110 is a liquid metal based x-ray illumination system. A jet of liquid metal 119 is produced from a liquid metal container 111 and collected in a liquid metal collector 112. A liquid metal circulation system

(not shown) returns liquid metal collected by collector 112 to liquid metal container 111. The jet of liquid metal 119 includes one or more elements. By way of non-limiting example, the jet of liquid metal 119 includes any of

Aluminum, Gallium, Indium, Tin, Thallium, and Bismuth. In this manner, the jet of liquid metal 119 produces x-ray lines corresponding with its constituent elements. In one embodiment, the jet of liquid metal includes a Gallium and Indium alloy. In some embodiments, the x-ray illumination system 110 is configured to generate wavelengths between 0.01 nanometers and 1 nanometer. An electron beam source 113 (e.g., electron gun) produces a stream of electrons 118 that is directed by electron optics 114 to the jet of liquid metal 119. Suitable electron optics 114 includes electromagnets, permanent magnets, or a combination of electromagnets and permanent magnets for focusing the electron beam and directing the beam at the liquid metal jet. The coincidence of the jet of liquid metal 119 and the stream of electrons 118 produces an x-ray beam 117 incident on inspection area 102 of specimen 101.

[0057] Methods and systems for generating high

brightness, liquid metal x-ray illumination are described in U.S. Patent No. 7,929,667, issued on April 19, 2011, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference.

[0058] In one embodiment, the incident x-ray beam 117 is at the Indium ka line of 24.2keV. The x-ray beam is collimated down to less than one milliradian divergence using multi-layer x-ray optics for full beam x-ray

scatterometry measurements.

[0059] In some embodiments, the x-ray scattering

measurements described herein are achieved without using a screen located between the x-ray source and the specimen under measurement. In these embodiments, the measured intensities of the full beam over a range of angles of incidence, multiple wavelengths, or a combination of both, provide sufficient information to resolve a distribution map (i.e., image) of a desired material property (e.g., complex refractive index, electron density, or

absorptivity) of the measured structure. However, in some other examples, a pinhole or another aperture is located on an otherwise opaque screen that is located between the x- ray source and the specimen under measurement to improve collimation of the x-ray beam. The intensity of the diffraction pattern is measured for several positions of the aperture. In some other embodiments, a screen with a pseudo-random aperture pattern is used, and the diffraction pattern is measured for multiple screens. These approaches may also be contemplated to provide additional information to resolve the three-dimensional distribution of the desired material property of the measured structure.

[0060] In some embodiments, the profile of the incident x-ray beam is controlled two or more apertures, slits, or a combination thereof. In a further embodiment, the

apertures, slits, or both, are configured to rotate in coordination with the orientation of the specimen to optimize the profile of the incident beam for each angle of incidence, azimuth angle, or both.

[0061] As depicted in FIG. 1, x-ray optics 115 shape and direct incident x-ray beam 117 to specimen 101. In some examples, x-ray optics 115 include an x-ray monochromator to monochromatize the x-ray beam that is incident on the specimen 101. In one example, a crystal monochromator such as a Loxley-Tanner-Bowen monochromator is employed to monochromatize the beam of x-ray radiation. In some examples, x-ray optics 115 collimate or focus the x-ray beam 117 onto inspection area 102 of specimen 101 to less than 1 milliradian divergence using multilayer x-ray optics. In some embodiments, x-ray optics 115 includes one or more x-ray collimating mirrors, x-ray apertures, x-ray beam stops, refractive x-ray optics, diffractive optics such as zone plates, specular x-ray optics such as grazing incidence ellipsoidal mirrors, polycapillary optics such as hollow capillary x-ray waveguides, multilayer optics, or systems, or any combination thereof. Further details are described in U.S. Patent Publication No. 2015/0110249, the content of which is incorporated herein by reference it its entirety .

[0062] In general, the focal plane of the illumination optics system is optimized for each measurement

application. In this manner, system 100 is configured to located the focal plane at various depths within the specimen depending on the measurement application.

[0063] X-ray detector 116 collects x-ray radiation 125 scattered from specimen 101 and generates an output signal 126 indicative of properties of specimen 101 that are sensitive to the incident x-ray radiation in accordance with a full beam x-ray scatterometry measurement modality. In some embodiments, scattered x-rays 125 are collected by x-ray detector 116 while specimen positioning system 140 locates and orients specimen 101 to produce angularly resolved scattered x-rays.

[0064] In one aspect, a full beam x-ray scatterometry system includes one or more photon counting detectors with high dynamic range (e.g., greater than 10 5 ) and thick, highly absorptive crystal substrates that absorb the direct beam (i.e., zero order beam) without damage and with minimal parasitic backscattering . In some embodiments, a single photon counting detector detects the position and number of detected photons.

[0065] Full beam x-ray scatterometry requires collection of the zero order beam along with higher diffraction orders. The zero order beam is several orders of magnitude more intense than the other orders. If the zero order beam is not fully absorbed in the X-Ray sensitive section of the detector, it will scatter and generate parasitic signals. The strength of these parasitic signals limits the dynamic range of the measurement. For example, if the parasitic signal is 10 "4 of the largest flux signal (i.e., the zero order signal) , the signals associated with many higher orders will be contaminated. Thus, it is critical that the detector (e.g., detector 116) exhibit high conversion efficiency of X-rays to electron hole pairs and high X-ray absorption to increase the effective dynamic range of the full beam metrology.

[0066] Exemplary detector materials suitable for full beam x-ray scatterometry include Cadmium Telluride (CdTe) , Germanium (Ge) and Gallium Arsenide (GaAs) crystals, and others. In some embodiments, the detector material is selected to provide high conversion efficiency in a narrow energy band corresponding to the source energy.

[0067] In some embodiments, the thickness of the

detector material is selected to achieve the desired absorption of incoming X-rays. In some embodiments, the detector is tilted with respect to the incoming X-ray beams (the various diffraction orders) to increase the path length of the X-ray beams through the detector material, and thus, increase the total amount of absorption.

[0068] In some embodiments, dual threshold detectors are employed to improve SNR.

[0069] In a further aspect, the x-ray detector resolves one or more x-ray photon energies and produces signals for each x-ray energy component indicative of properties of the specimen. In some embodiments, the x-ray detector 116 includes any of a CCD array, a microchannel plate, a photodiode array, a microstrip proportional counter, a gas filled proportional counter, a scintillator, or a

fluorescent material.

[0070] In this manner the X-ray photon interactions within the detector are discriminated by energy in addition to pixel location and number of counts. In some

embodiments, the X-ray photon interactions are

discriminated by comparing the energy of the X-ray photon interaction with a predetermined upper threshold value and a predetermined lower threshold value. In one embodiment, this information is communicated to computing system 130 via output signals 126 for further processing and storage.

[0071] In a further aspect, the detector is scanned relative to the incoming X-rays to mitigate damage or excessive charging from the incident zero order beam. In some embodiments, the detector is continuously scanned with respect to the incoming X-rays to avoid having the zero order beam dwell on a particular location on the detector surface for an extended period of time. In some other embodiments, the detector is periodically moved with respect to the incoming X-rays to avoid having the zero order beam dwell on a particular location on the detector surface for an extended period of time. In some

embodiments, the scanning or periodic movements are

approximately perpendicular to the incoming X-rays. In some embodiments, the movements are rotational (e.g., the detector is rotated such that a particular location on the detector surface traces out a circle in space) . In some embodiments, the movements are a combination of

translational movements that move the point of incidence of the zero order beam to various different locations on the detector surface.

[0072] In a further aspect, a full beam x-ray

scatterometry system is employed to determine properties of a specimen (e.g., structural parameter values) based on multiple measured diffraction orders including the zero order scattered light. As depicted in FIG. 1, metrology tool 100 includes a computing system 130 employed to acquire signals 126 generated by detector 116 and determine properties of the specimen based at least in part on the acquired signals. [0073] In a full beam x-ray scatterometry measurement, a high aspect ratio, vertically manufactured structure diffracts a collimated X-ray beam into diffraction orders. Each diffraction order travels in a particular, predictable direction. The angular spacing of the diffraction orders is inversely proportional to the lattice constant of the specimen divided by the wavelength. The diffraction orders are detected by a detector array placed at some distance from the wafer. Each pixel of the detector outputs a signal that indicates the number of photons that hit the pixel .

[0074] The intensities of diffraction orders are of the form I(m,n, θ,φ,λ), where {m,n} are integer indices of diffraction orders, {θ,φ} are elevation and azimuth angles of the incident beam (i.e., polar coordinates of the incident chief ray with respect to a coordinate system that is fixed to the wafer) , and λ is the wavelength of the incident X-ray.

[0075] Several noise sources perturb the illumination light as it exits the illumination and propagates toward the specimen. Exemplary disturbances include electron beam current fluctuation, temperature induced optic drift, etc. The perturbed incident flux is denoted as Fo(l+ni) .

[0076] The target scatters the incident radiation in a manner that depends on the azimuth and elevation angles of the incident beam. The efficiency of light scattering into orders (m,n) can be defined as Smn(0,())) . As the diffracted light propagates from the specimen to the detector, the beam passes through other scattering media that affect all orders similarly with some variation (l+n2) and parasitic noise (ri3) . In this manner the total intensity I m n of each order measured in a time, t, can be expressed by equation (1) .

I m = S mn (θ, + n 2 )(1 + n )F 0 t + n, ( 1 )

[0077] FIG. 3 depicts an image 171 of scattered orders measured by a full beam metrology system such as metrology system 100. As illustrated in FIG. 3, the bright spot in the center of the image is associated with the zero order beam .

[0078] The intensity of each order can be extracted in many ways. In some embodiments, the diffraction orders are spatially separated at the detector. In these embodiments, the diffraction orders are individually detected by the detector array, and the outputs of pixels associated with the same diffraction order are combined (i.e., added) . In this manner, detected diffraction orders are discriminated by accumulating photon counts of pixels associated with each particular diffraction order. This scenario is more likely to occur when measuring relatively small pitch features or when measuring with a beam having a relatively small divergence.

[0079] In some other embodiments, the diffraction orders spatially overlap at the detector and the pixel outputs cannot simply be combined to determine the intensity

associated with a particular diffraction order. In these embodiments, a measurement model is employed to deconvolve the diffraction orders to discriminate the measured

intensity of each detected diffraction order. This

scenario is more likely to occur when measuring relatively large pitch features or when measuring with a beam having a relatively large divergence. [0080] In a further aspect, overlapped orders are deconvolved based on the measured zero order beam shape. In some embodiments, this deconvolution is performed in real time. The beam profile of higher diffracted orders

(i.e., orders greater than zero) is modeled based on the profile of the zero order beam. FIG. 4 depicts an image

172 of scattered orders measured by a full beam metrology system such as metrology system 100. FIG. 5 depicts a plot

173 of the intensity profile associated with the cross- section, C, of image 172 depicted in FIG. 4. The

relatively high intensity zero order beam provides a very accurate beam profile that is used to model the higher diffraction orders.

[0081] In another further aspect, the zero order beam profile is extracted during measurements to mitigate drift during measurement.

[0082] In some embodiments, the zero order beam profile is measured with no target in the beam target. In some embodiments, the zero order beam profile is measured with a non-scattering target in the beam path such that the zero diffraction order is the only beam measured on the

detector. In some embodiments, the zero order beam profile is measured with a known target having known scattering properties .

[0083] In another further aspect, the intensity of higher diffraction orders is estimated based on the

measured zero order beam. In some embodiments, the

intensity of each higher diffraction order is estimated relative to the measured zero order beam by simple division of intensity, or otherwise. In this manner, measurement uncertainty associated with the relatively weak, higher order signals is significantly reduced. [0084] By estimating the intensity of higher diffraction orders based on the simultaneously measured zero order beam, scattering signals are separated from system

perturbations during data collection. Perturbations due to misalignment of optical components (e.g., slits, optics, spot shape) and perturbations along the beam path (e.g., ni and ri2) are mitigated in real-time. By using all scattered intensities, including the zero order, the dependence of scattered intensities on thickness or material density of the measured specimen is isolated from flux perturbations before and after the wafer.

[0085] The scattering efficiency of the measured

specimen relates the extracted scattering intensities to the geometry and materials of the metrology target for a set of incidence angles {θ,φ}. FIG. 6 depicts the

scattering efficiency of the zero order beam, S oo , as a function of angle of incidence, Θ. S oo depends on the incidence angle because transmission through the target decreases at higher incidence angles due to increased path length. In addition, S oo depends on the incidence angle because energy leaves the zero order and enters the higher diffracting orders when the incidence angle is aligned with the scattering of the target (e.g., normal incidence) .

[0086] FIG. 7 depicts the scattering efficiency of several higher orders as a function of angle of incidence, Θ. Plotline 174 depicts S n , plotline 175 depicts S13.

plotline 176 depicts S 20 , and plotline 177 depicts S 22 . The scattering intensity for all higher orders typically depends on the scattering depth or density. In general, the scattering efficiency of the zero order decreases as scattering depth increases, while the scattering efficiency of every other scattered order increases as scattering depth increases.

[0087] Estimating the intensity of higher diffraction orders based on the simultaneously measured zero order beam also increases the measurement signal to noise ratio (SNR) . This enables more precise measurements of scattering depth and material density, and thus a more precise estimate of the target profile.

[0088] In some examples, metrology based on full beam x- ray scatterometry involves determining the dimensions of the sample by the inverse solution of a pre-determined measurement model with the measured data. The measurement model includes a few (on the order of ten) adjustable parameters and is representative of the geometry and optical properties of the specimen and the optical

properties of the measurement system. The method of inverse solve includes, but is not limited to, model based regression, tomography, machine learning, or any

combination thereof. In this manner, target profile parameters are estimated by solving for values of a

parameterized measurement model that minimize errors between the measured scattered x-ray intensities and modeled results.

[0089] In another further aspect, the measured zero order intensity is provided as input to the measurement model during regression. When the zero order is not measured, the value of total flux must be floated along with other model parameters. This results in a loss of precision. Furthermore, for all scattered orders other than the zero order, the scattered intensity is a function of the scattering contrast alone, i.e., the difference between the indices of refraction of the materials. However, for the zero order, the scattered intensity is a function of the absolute values (i.e., undifferenced values) of the indices of refraction. This additional information improves measurement precision.

[0090] In another further aspect, the divergence of the measured orders is considered in the measurement model associated with a model based measurement of the metrology target. In some examples, the resolved beam has a pixel- dependent scattering efficiency because each pixel is an average of slightly different incidence angles {θ,φ} due to system divergence. The inventors have discovered that measurement time can be decreased by optimizing divergence for model based measurements based on full beam x-ray scatterometry . Too little divergence results in increased measurement time and too much divergence leads to excessive correlation and loss of measurement precision.

[0091] In another aspect, the measurement quality and performance of the full beam x-ray scatterometry system is estimated based on properties of the measured zero order beam. The measured properties of the zero order beam include, but are not limited to beam shape, intensity, location, profile, tilt, rotation, asymmetry, or any combination thereof.

[0092] In some examples, the brightness of the

illumination source is estimated based on a summation of all light detected by the detector. In the absence of external perturbations, the total measured flux depends on target absorption only. In some examples, the measurement is performed without a target. In these examples, the total measured flux provides a direct estimate of source brightness. In some other examples, a target having known absorption characteristics is employed. In these examples, the source brightness is estimated based on the measured flux corrected by the known target absorption.

[0093] In some examples, the transmission efficiency of the system is estimated based on a summation of all light detected by the detector. In these examples, light emitted by the illumination source is measured as it exits the illumination source, but before interaction with the illumination optics. In addition, the light detected by the detector is summed. The ratio of flux between the light detected at the detector and the light emitted by the illumination source provides an estimate of the

transmission efficiency of the optical system. In some examples, the measurement is performed without a target. In some other examples, a target having known absorption characteristics is employed.

[0094] In some examples, the relative alignment of the detector to the beam axis is estimated based on the

location of incidence of the zero order beam on the

detector .

[0095] In some examples, defects or misalignments in the optical subsystem are estimated based on the shape (e.g., asymmetries, roughness, rotations) of the zero order beam measured at the detector. Defects or misalignment of beam shaping optics, slits, apertures, illumination source, etc., may be characterized in this manner. In many examples, errors in the slope of an illumination optic manifest themselves as fine structures of the beam shape detected at the detector. Small variations in the detected beam shape correspond to the position of the beam on the illumination optic. In addition, the position of the beam on the slits is ascertained by monitoring the locations of fine structures due to optic slope errors relative to the location of sharp edges due to the slits.

[0096] In a further aspect, the measurement quality and performance of the metrology system is controlled based on the measured zero order beam. In some examples, the estimates of measurement quality and performance described hereinbefore are provided as input to a feedback controller (e.g., computing system 130). The feedback controller communicates control commands that result in changes in state of one or more elements of the metrology system that improves measurement system quality and performance.

[0097] In some examples, the control commands are provided to the illumination source. In response, the electrical state of the illumination source is adjusted to change the scanned spot size and shape, illumination power, spot offsets, incident angles, etc.

[0098] In some examples, the control commands are provided to one or more positioning devices that control the location of one or more optical elements of the

metrology system. In response, the one or more positioning devices changes a position/orientation of one or more optical elements to adjust the incidence angles, focal distance between the illumination source and illumination optics, beam positioning, location of the beam spot on the optic to minimize the effects of surface roughness, etc.

[0099] In general, the estimates and control of

measurement quality and performance as described herein may be performed with or without a target present in the beam path .

[00100] In a further aspect, full beam x-ray

scatterometry measurements are performed over a range of angles of incidence that provide sufficient resolution and depth of penetration to characterize high aspect ratio structures through their entire depth.

[00101] Measurements of the intensity of diffracted radiation as a function of x-ray incidence angle relative to the wafer surface normal are collected. Information contained in the multiple diffraction orders is typically unique between each model parameter under consideration. Thus, x-ray scattering yields estimation results for values of parameters of interest with small errors and reduced parameter correlation.

[00102] In some embodiments, x-ray detector 116 is maintained in the same atmospheric environment as specimen 101 (e.g., gas purge environment) . However, in some embodiments, the distance between specimen 101 and x-ray detector 116 is lengthy and environmental disturbances

(e.g., air turbulence) contribute noise to the detected signals. Hence in some embodiments, one or more of the x- ray detectors is maintained in a localized, vacuum

environment separated from the specimen (e.g., specimen 101) by a vacuum window.

[00103] Similarly, in some embodiments, x-ray

illumination source 110, illumination optics 115, or both, are maintained in the same atmospheric environment as specimen 101 (e.g., gas purge environment) . However, in some embodiments, the optical path length between x-ray illumination source 110 and illumination optics 115 and the optical path length between illumination optics 115 and specimen 101 are long and environmental disturbances (e.g., air turbulence) contribute noise to the illumination beam. Hence in some embodiments, the x-ray illumination source, the illumination optics 115, or both, are maintained in a localized, vacuum environment separated from the specimen

(e.g., specimen 101) by a vacuum window.

[00104] FIG. 8 is a diagram illustrative of a vacuum chamber 160 containing x-ray illumination source 110 and illumination optics 115 and a vacuum chamber 160 containing x-ray detector 116 in one embodiment. In a preferred embodiment, vacuum chamber 160 includes a substantial portion of the optical path between x-ray illumination source 110 and specimen 101, and vacuum chamber 163

includes a substantial portion of the optical path between specimen 101 and x-ray detector 116. The openings of vacuum chamber 160 and vacuum chamber 163 are covered by vacuum windows 161 and 164, respectively. Vacuum windows 161 and 164 may be constructed of any suitable material that is substantially transparent to x-ray radiation (e.g., Beryllium) . Illumination beam 117 passes through vacuum window 161 as it propagates toward specimen 101. After interaction with specimen 101, scattered x-ray radiation 125 passes through vacuum window 164, enters vacuum chamber 160 and is incident on x-ray detector 116. A suitable vacuum environment 162 is maintained within vacuum chamber 160 to minimize disturbances to the illumination beam 117, and a suitable vacuum environment 165 is maintained within vacuum chamber 163 to minimize disturbances to scattered x- ray radiation 125. A suitable vacuum environment may include any suitable level of vacuum, any suitable purged environment including an inert gas (e.g., helium), or any combination thereof. In this manner, as much of the beam path as possible is located in vacuum to maximize flux and minimize perturbations.

[00105] In some embodiments, the entire optical system, including specimen 101, is maintained in vacuum. However, in general, the costs associated with maintaining specimen 101 in vacuum are high due to the complexities associated with the construction of specimen positioning system 140.

[00106] In another further aspect, computing system 130 is configured to generate a structural model (e.g., geometric model, material model, or combined geometric and material model) of a measured structure of a specimen, generate a full beam x-ray scatterometry response model that includes at least one geometric parameter from the structural model, and resolve at least one specimen

parameter value by performing a fitting analysis of full beam x-ray scatterometry measurement data with the full beam x-ray scatterometry response model. The analysis engine is used to compare the simulated full beam x-ray scatterometry signals with measured data thereby allowing the determination of geometric as well as material

properties such as electron density of the sample. In the embodiment depicted in FIG. 1, computing system 130 is configured as a model building and analysis engine

configured to implement model building and analysis

functionality as described herein.

[00107] FIG. 9 is a diagram illustrative of an exemplary model building and analysis engine 150 implemented by computing system 130. As depicted in FIG. 9, model

building and analysis engine 150 includes a structural model building module 151 that generates a structural model 152 of a measured structure of a specimen. In some

embodiments, structural model 152 also includes material properties of the specimen. The structural model 152 is received as input to full beam x-ray scatterometry response function building module 153. full beam x-ray

scatterometry response function building module 153 generates a full beam x-ray scatterometry response function model 155 based at least in part on the structural model 152. In some examples, the full beam x-ray scatterometry response function model 155 is based on x-ra form factors,

where F is the form factor, q is the scattering vector, and p(r) is the electron density of the specimen in spherical coordinates. The x-ray scattering intensity is then given by

I(q) = F * F. (3)

Full beam x-ray scatterometry response function model 155 is received as input to fitting analysis module 157. The fitting analysis module 157 compares the modeled full beam x-ray scatterometry response with the corresponding

measured data to determine geometric as well as material properties of the specimen.

[00108] In some examples, the fitting of modeled data to experimental data is achieved by minimizing a chi-squared value. For example, for full beam x-ray scatterometry measurements, a chi-squared value can be defined as

[00109] Where, S? AXS EX P eriment -j_ s the measured full beam x-ray scatterometry signals 126 in the "channel" j, where the index j describes a set of system parameters such as

diffraction order, energy, angular coordinate, etc.

Sf AXS model (vi, ...,v L ) is the modeled full beam x-ray

scatterometry signal Sj for the "channel" j, evaluated for a set of structure (target) parameters v 1 ,...,v , where these parameters describe geometric (CD, sidewall angle, overlay, etc.) and material (electron density, etc.). O SAXS J is the uncertainty associated with the j th channel. NSAXS is the total number of channels in the x-ray metrology. L is the number of parameters characterizing the metrology target.

[00110] Equation (4) assumes that the uncertainties associated with different channels are uncorrelated . In examples where the uncertainties associated with the different channels are correlated, a covariance between the uncertainties, can be calculated. In these examples a chi- squared value for full beam x-ray scatterometry

measurements can be expressed as

Λ ,2 _ 1 fcSAXS. model Λ

XSAXS - 3 ] Vi,■■■ , v M j -

T

?SAXS. experiment^ τ-l frSAXS. model/,, „, Λ 7?SAXS . experiment^

bj ) V SAXS^j v l» -» V MJ - j

(5)

[00111] where, V SAXS is the covariance matrix of the SAXS channel uncertainties, and T denotes the transpose.

[00112] In some examples, fitting analysis module 157 resolves at least one specimen parameter value by

performing a fitting analysis on full beam x-ray

scatterometry measurement data 126 with the full beam x-ray scatterometry response model 155. In some examples, AXS is optimized.

[00113] As described hereinbefore, the fitting of full beam x-ray scatterometry data is achieved by minimization of chi-squared values. However, in general, the fitting of full beam x-ray scatterometry data may be achieved by other functions .

[00114] The fitting of full beam x-ray scatterometry metrology data is advantageous for any type of full beam x- ray scatterometry technology that provides sensitivity to geometric and/or material parameters of interest. Specimen parameters can be deterministic (e.g., CD, SWA, etc.) or statistical (e.g., rms height of sidewall roughness, roughness correlation length, etc.) as long as proper models describing full beam x-ray scatterometry beam interaction with the specimen are used.

[00115] In general, computing system 130 is configured to access model parameters in real-time, employing Real Time Critical Dimensioning (RTCD) , or it may access libraries of pre-computed models for determining a value of at least one specimen parameter value associated with the specimen 101. In general, some form of CD-engine may be used to evaluate the difference between assigned CD parameters of a specimen and CD parameters associated with the measured specimen. Exemplary methods and systems for computing specimen parameter values are described in U.S. Patent No.

7,826,071, issued on November 2, 2010, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference.

[00116] In some examples, model building and analysis engine 150 improves the accuracy of measured parameters by any combination of feed sideways analysis, feed forward analysis, and parallel analysis. Feed sideways analysis refers to taking multiple data sets on different areas of the same specimen and passing common parameters determined from the first dataset onto the second dataset for

analysis. Feed forward analysis refers to taking data sets on different specimens and passing common parameters forward to subsequent analyses using a stepwise copy exact parameter feed forward approach. Parallel analysis refers to the parallel or concurrent application of a non-linear fitting methodology to multiple datasets where at least one common parameter is coupled during the fitting. [00117] Multiple tool and structure analysis refers to a feed forward, feed sideways, or parallel analysis based on regression, a look-up table (i.e., "library" matching), or another fitting procedure of multiple datasets. Exemplary methods and systems for multiple tool and structure

analysis is described in U.S. Patent No. 7,478,019, issued on January 13, 2009, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference.

[00118] In one further aspect, metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement beam control functionality as described herein. In the embodiment depicted in FIG. 1, computing system 130 is configured as a beam controller operable to control any of the illumination properties such as intensity, divergence, spot size, polarization,

spectrum, and positioning of the incident illumination beam 117.

[00119] As illustrated in FIG. 1, computing system 130 is communicatively coupled to detector 116. Computing system 130 is configured to receive measurement data 126 from detector 116. In one example, measurement data 126 includes an indication of the measured response of the specimen (i.e., intensities of the diffraction orders). Based on the distribution of the measured response on the surface of detector 116, the location and area of incidence of illumination beam 117 on specimen 101 is determined by computing system 130. In one example, pattern recognition techniques are applied by computing system 130 to determine the location and area of incidence of illumination beam 117 on specimen 101 based on measurement data 126. In some examples, computing system 130 communicates command signal 137 to illumination optics 115 to select the desired illumination wavelength and redirect and reshape illumination beam 117 such that incident illumination beam 117 arrives at the desired location and angular orientation with respect to specimen 101. In some other examples, computing system 130 communicates a command signal to wafer positioning system 140 to position and orient specimen 101 such that incident illumination beam 117 arrives at the desired location and angular orientation with respect to specimen 101. In some other examples, computing system 130 communicates a command signal 137 to x-ray source 110 to select the desired illumination wavelength and redirect and reshape illumination beam 117 such that incident

illumination beam 117 arrives at the desired location and angular orientation with respect to specimen 101.

[00120] In some embodiments, it is desirable to perform measurements at different orientations described by

rotations about the x and y axes indicated by coordinate system 146 depicted in FIG. 1. This increases the

precision and accuracy of measured parameters and reduces correlations among parameters by extending the number and diversity of data sets available for analysis to include a variety of large-angle, out of plane orientations.

Measuring specimen parameters with a deeper, more diverse data set also reduces correlations among parameters and improves measurement accuracy. For example, in a normal orientation, full beam x-ray scatterometry is able to resolve the critical dimension of a feature, but is largely insensitive to sidewall angle and height of a feature.

However, by collecting measurement data over a broad range of out of plane angular positions, the sidewall angle and height of a feature can be resolved. [00121] As illustrated in FIG. 1, metrology tool 100 includes a specimen positioning system 140 configured to both align specimen 101 and orient specimen 101 over a large range of out of plane angular orientations with respect the scatterometer . In other words, specimen positioning system 140 is configured to rotate specimen 101 over a large angular range about one or more axes of rotation aligned in-plane with the surface of specimen 101. In some embodiments, specimen positioning system 140 is configured to rotate specimen 101 within a range of at least 90 degrees about one or more axes of rotation aligned in-plane with the surface of specimen 101. In some

embodiments, specimen positioning system is configured to rotate specimen 101 within a range of at least 60 degrees about one or more axes of rotation aligned in-plane with the surface of specimen 101. In some other embodiments, specimen positioning system is configured to rotate

specimen 101 within a range of at least one degree about one or more axes of rotation aligned in-plane with the surface of specimen 101. In this manner, angle resolved measurements of specimen 101 are collected by metrology system 100 over any number of locations on the surface of specimen 101. In one example, computing system 130

communicates command signals to motion controller 145 of specimen positioning system 140 that indicate the desired position of specimen 101. In response, motion controller 145 generates command signals to the various actuators of specimen positioning system 140 to achieve the desired positioning of specimen 101.

[00122] By way of non-limiting example, as illustrated in FIG. 1, specimen positioning system 140 includes an edge grip chuck 141 to fixedly attach specimen 101 to specimen positioning system 140. A rotational actuator 142 is configured to rotate edge grip chuck 141 and the attached specimen 101 with respect to a perimeter frame 143. In the depicted embodiment, rotational actuator 142 is configured to rotate specimen 101 about the x-axis of the coordinate system 146 illustrated in FIG. 1. As depicted in FIG. 1, a rotation of specimen 101 about the z-axis is an in plane rotation of specimen 101. Rotations about the x-axis and the y-axis (not shown) are out of plane rotations of specimen 101 that effectively tilt the surface of the specimen with respect to the metrology elements of

metrology system 100. Although it is not illustrated, a second rotational actuator is configured to rotate specimen 101 about the y-axis. A linear actuator 144 is configured to translate perimeter frame 143 in the x-direction.

Another linear actuator (not shown) is configured to translate perimeter frame 143 in the y-direction. In this manner, every location on the surface of specimen 101 is available for measurement over a range of out of plane angular positions. For example, in one embodiment, a location of specimen 101 is measured over several angular increments within a range of -45 degrees to +45 degrees with respect to the normal orientation of specimen 101.

[00123] In general, specimen positioning system 140 may include any suitable combination of mechanical elements to achieve the desired linear and angular positioning

performance, including, but not limited to goniometer stages, hexapod stages, angular stages, and linear stages.

[00124] In further aspect, an initial estimate of values of one or more parameters of interest is determined based on full beam x-ray scatterometry measurements performed at a single orientation of the incident x-ray beam with respect to the measurement target. The initial, estimated values are implemented as the starting values of the parameters of interest for a regression of the measurement model with measurement data collected from full beam x-ray scatterometry measurements at multiple orientations. In this manner, a close estimate of a parameter of interest is determined with a relatively small amount of computational effort, and by implementing this close estimate as the starting point for a regression over a much larger data set, a refined estimate of the parameter of interest is obtained with less overall computational effort.

[00125] In a further aspect, full beam x-ray

scatterometry measurement data is used to generate an image of a measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, a full beam x-ray scatterometry response function model is generalized to describe the scattering from a generic electron density mesh. Matching this model to the measured signals, while constraining the modelled electron densities in this mesh to enforce continuity and sparse edges, provides a three dimensional image of the sample.

[00126] Although, geometric, model-based, parametric inversion is preferred for critical dimension (CD)

metrology based on full beam x-ray scatterometry

measurements, a map of the specimen generated from the same full beam x-ray scatterometry measurement data is useful to identify and correct model errors when the measured

specimen deviates from the assumptions of the geometric model .

[00127] In some examples, the image is compared to structural characteristics estimated by a geometric, model- based parametric inversion of the same scatterometry measurement data. Discrepancies are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge on an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor, and trouble-shoot their manufacturing process.

[00128] In some examples, the image is a two dimensional (2-D) map of electron density, absorptivity, complex index of refraction, or a combination of these material

characteristics. In some examples, the image is a three dimensional (3-D) map of electron density, absorptivity, complex index of refraction, or a combination of these material characteristics. The map is generated using relatively few physical constraints. In some examples, one or more parameters of interest, such as critical dimension (CD) , sidewall angle (SWA) , overlay, edge placement error, pitch walk, etc., are estimated directly from the resulting map. In some other examples, the map is useful for debugging the wafer process when the sample geometry or materials deviate outside the range of expected values contemplated by a parametric structural model employed for model-based CD measurement. In one example, the

differences between the map and a rendering of the

structure predicted by the parametric structural model according to its measured parameters are used to update the parametric structural model and improve its measurement performance. Further details are described in U.S. Patent Publication No. 2015/0300965, the content of which is incorporated herein by reference it its entirety.

Additional details are described in U.S. Patent Publication No. 2015/0117610, the content of which is incorporated herein by reference it its entirety. [00129] In a further aspect, model building and analysis engine 150 is employed to generate models for combined x- ray and optical measurement analysis. In some examples, optical simulations are based on, e.g., rigorous coupled- wave analysis (RCWA) where Maxwell's equations are solved to calculate optical signals such as reflectivities for different polarizations, ellipsometric parameters, phase change, etc.

[00130] Values of one or more parameters of interest are determined based on a combined fitting analysis of the detected intensities of the x-ray diffraction orders at the plurality of different angles of incidence and detected optical intensities with a combined, geometrically

parameterized response model. The optical intensities are measured by an optical metrology tool that may or may not be mechanically integrated with an x-ray metrology system, such as systems 100 and 200 depicted in FIGS. 1 and 2, respectively. Further details are described in U.S. Patent Publication No. 2014/0019097 and U.S. Patent Publication No. 2013/0304424, the contents of each are incorporated herein by reference it their entirety.

[00131] As described herein, full beam x-ray

scatterometry measurements are performed at multiple orientations of the illuminating x-ray beam relative to the surface normal of the semiconductor wafer. Each

orientation is described by any two angular rotations of wafer 101 with respect to the x-ray illumination beam, or vice-versa. In one example, the orientation can be

described with respect to a coordinate system fixed to the wafer. FIG. 11 depicts x-ray illumination beam 117 incident on wafer 101 at a particular orientation described by angles φ and Θ. Coordinate frame XYZ is fixed the metrology system and coordinate frame X'Y'Z' is fixed to wafer 101. Z is aligned with an axis normal to the surface of wafer 101. X and Y are in a plane aligned with the surface of wafer 101. Similarly, Z' is aligned with an axis normal to the surface of wafer 101, and X' and Y' are in a plane aligned with the surface of wafer 101. As depicted in FIG. 11, x-ray illumination beam 117 lies within the X'Z' plane. Angle, φ, describes the orientation of the x-ray illumination beam 117 with respect to the surface normal of the wafer in the X'Z' plane.

Furthermore, angle, Θ, describes the orientation of the X'Z' plane with respect to the XZ plane. Together, Θ and φ, uniquely define the orientation of the x-ray illumination beam 117 with respect to the surface of wafer 101. In this example, the orientation of the x-ray illumination beam with respect to the surface of wafer 101 is described by a rotation about an axis normal to the surface of wafer 101 (i.e., Z axis) and a rotation about an axis aligned with the surface of wafer 101 (i.e., Y' axis) . In some other examples, the orientation of the x-ray illumination beam with respect to the surface of wafer 101 is described by a rotation about a first axis aligned with the surface of wafer 101 and another axis aligned with the surface of wafer 101 and perpendicular to the first axis as described with reference to FIG. 1.

[00132] In some embodiments, the metrology target

characterized by full beam x-ray scatterometry measurements as described herein is located within a scribe line of the wafer under measurement. In these embodiments, the

metrology target is sized to fit within the width of the scribe line. In some examples, the scribe line width is less than eighty micrometers. In some examples, the scribe line is less than fifty micrometers. In general, the width of the scribe lines employed in semiconductor manufacturing is trending smaller.

[00133] In some embodiments, the metrology target

characterized full beam x-ray scatterometry measurements as described herein is located within an active die area of the wafer under measurement and is a part of a functional integrated circuit (e.g., memory, image sensor, logic device, etc . ) .

[00134] In general, it is preferred that the illumination beam spot size closely match the lateral dimensions of the metrology target under measurement to minimize

contamination signals from structures surrounding the metrology target under measurement. In some embodiments, the metrology target under measurement is less than 70 micrometers in any lateral dimension. In some embodiments, the metrology target under measurement is less than 50 micrometers in any lateral dimension. In some embodiments, the metrology target under measurement is less than 40 micrometers in any lateral dimension. In some embodiments, the metrology target under measurement is less than 10 micrometers in any lateral dimension. In some embodiments, the metrology target under measurement is characterized by an overall height (or equivalently, depth) of more than one micrometer. In some embodiments, the metrology target under measurement is characterized by an overall height (or equivalently, depth) of more than two micrometers.

[00135] In general, a metrology target is characterized by an aspect ratio defined as a maximum height dimension (i.e., dimension normal to the wafer surface) divided by a maximum lateral extent dimension (i.e., dimension aligned with the wafer surface) of the metrology target. In some embodiments, the metrology target under measurement has an aspect ratio of at least twenty. In some embodiments, the metrology target has an aspect ratio of at least forty.

[00136] FIGS. lOA-lOC depict an isometric view, a top view, and a cross-sectional view, respectively, of a typical 3D FLASH memory device 190 subject to measurement in the manner described herein. The total height (or equivalently depth) of memory device 190 ranges from one to several micrometers. Memory device 190 is a vertically manufactured device. A vertically manufactured device, such as memory device 190, essentially turns a

conventional, planar memory device 90 degrees, orienting the bit line and cell string vertically (perpendicular to wafer surface) . To provide sufficient memory capacity, a large number of alternating layers of different materials are deposited on the wafer. This requires patterning processes to perform well to depths of several microns for structures with a maximum lateral extent of one hundred nanometers or less. As a result, aspect ratios of 25 to 1 or 50 to 1 are not uncommon.

[00137] FIG. 12 depicts a top view of an array of high aspect ratio hole structures 310. As depicted in FIG. 7, the array of hole structures are most closely patterned along planes 311, 312, 313, and 314 (which extend inward and outward from the drawing) . In some embodiments, it is preferred to perform measurements of high aspect ratio structures as described herein at orientations of the incident x-ray illumination beam with respect to the surface of the wafer under measurement that lie within planes where an array of high aspect ratio structures are most closely patterned. In the example depicted in FIG. 12, it is preferred to provide x-ray illumination to the array of hole structures 310 within planes 311 and 312, and 313 and 314, where the array of hole structures are most closely patterned.

[ 00138 ] FIG. 13A depicts a side view of an ideal high aspect ratio hole structure 320. FIG. 13B depicts a side view of a tilted hole structure 321. FIG. 13C depicts a side view of a progressively tilted hole structure 322, where the degree of tilt progressively increases with depth. In many examples, hole structures 321 and 322 are undesirable. In some embodiments, hole structures

resembling hole structures 321 and 322 are characterized by full beam x-ray scatterometry measurements as described herein. In one example, hole structure 321 is

characterized by a tilt angle parameter, a . Furthermore, x-ray illumination beam 117 is provided to hole structure 321 at an angle, φ, with respect to the surface normal, and at the opposite angle, -φ, as described, for example, with reference to FIG. 11. In some embodiments, differences in measured T-SAX signals that arise in these two illumination scenarios provide sufficient signal information to

accurately estimate the tilt angle, a .

[ 00139] In another example, hole structure 322 is

piecewise characterized by a number of tilt angle

parameter, ai , a.2, and a.3. Similarly, x-ray illumination beam 117 is provided to hole structure 322 at an angle, φ, with respect to the surface normal, and at the opposite angle, -φ, as described, for example, with reference to FIG. 11. In some embodiments, differences in measured T-SAX signals that arise in these two illumination scenarios provide sufficient signal information to accurately

estimate the tilt angles, ai , a.2, and a.3. [00140] It should be recognized that the various steps described throughout the present disclosure may be carried out by a single computer system 130 or, alternatively, a multiple computer system 130. Moreover, different

subsystems of the system 100, such as the specimen

positioning system 140, may include a computer system suitable for carrying out at least a portion of the steps described herein. Therefore, the aforementioned

description should not be interpreted as a limitation on the present invention but merely an illustration. Further, the one or more computing systems 130 may be configured to perform any other step(s) of any of the method embodiments described herein.

[00141] In addition, the computer system 130 may be communicatively coupled to the detector 116 and the

illumination optics 115 in any manner known in the art. For example, the one or more computing systems 130 may be coupled to computing systems associated with the detector 116 and the illumination optics 115, respectively. In another example, any of the detector 116 and the

illumination optics 115 may be controlled directly by a single computer system coupled to computer system 130.

[00142] The computer system 130 may be configured to receive and/or acquire data or information from the

subsystems of the system (e.g., detector 116 and

illumination optics 115, and the like) by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100.

[00143] Computer system 130 of the metrology system 100 may be configured to receive and/or acquire data or information (e.g., measurement results, modeling inputs, modeling results, etc.) from other systems by a

transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., memory on-board metrology system 100, external memory, or external systems) . For example, the computing system 130 may be configured to receive measurement data (e.g., signals 126) from a storage medium (i.e., memory 132 or 180) via a data link. For instance, spectral results obtained using a spectrometer of any of detector 116 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 180) . In this regard, the measurement results may be imported from on-board memory or from an external memory system. Moreover, the computer system 130 may send data to other systems via a transmission medium. For instance, specimen parameter values 170 determined by computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 180) . In this regard, measurement results may be exported to another system.

[00144] Computing system 130 may include, but is not limited to, a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device having one or more processors, which execute

instructions from a memory medium.

[00145] Program instructions 134 implementing methods such as those described herein may be transmitted over a transmission medium such as a wire, cable, or wireless transmission link. For example, as illustrated in FIG. 1, program instructions stored in memory 132 are transmitted to processor 131 over bus 133. Program instructions 134 are stored in a computer readable medium (e.g., memory 132). Exemplary computer-readable media include read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.

[00146] In some embodiments, a scatterometry analysis as described herein is implemented as part of a fabrication process tool. Examples of fabrication process tools include, but are not limited to, lithographic exposure tools, film deposition tools, implant tools, and etch tools. In this manner, the results of a full beam x-ray scatterometry analysis are used to control a fabrication process. In one example, full beam x-ray scatterometry measurement data collected from one or more targets is sent to a fabrication process tool. The full beam x-ray

scatterometry measurement data is analyzed as described herein and the results used to adjust the operation of the fabrication process tool.

[00147] Scatterometry measurements as described herein may be used to determine characteristics of a variety of semiconductor structures. Exemplary structures include, but are not limited to, FinFETs, low-dimensional structures such as nanowires or graphene, sub 10 nm structures, lithographic structures, through substrate vias (TSVs) , memory structures such as DRAM, DRAM 4F2, FLASH, MRAM and high aspect ratio memory structures. Exemplary structural characteristics include, but are not limited to, geometric parameters such as line edge roughness, line width

roughness, pore size, pore density, side wall angle, profile, critical dimension, pitch, and material parameters such as electron density, composition, grain structure, morphology, stress, strain, and elemental identification.

[00148] FIG. 14 illustrates a method 300 suitable for implementation by the metrology systems 100 and 200 of the present invention. In one aspect, it is recognized that data processing blocks of method 300 may be carried out via a pre-programmed algorithm executed by one or more

processors of computing system 130. While the following description is presented in the context of metrology systems 100 and 200, it is recognized herein that the particular structural aspects of metrology systems 100 and 200 do not represent limitations and should be interpreted as illustrative only.

[00149] In block 301, a measurement target formed on a wafer surface is illuminated with a focused beam of x-ray radiation at a plurality of different orientations with respect to the measurement target.

[00150] In block 302, an intensity associated with a zero diffraction order and an intensity associated with a higher diffraction order of an amount of radiation scattered from the measurement target in response to the incident beam of x-ray radiation are simultaneously detected at each

orientation .

[00151] In block 303, a value of a parameter of interest associated with a model of the measurement target is determined based on the detected intensities of the

diffraction orders at the plurality of orientations.

[00152] As described herein, the term "critical

dimension" includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical

dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures (e.g., distance between two structures), and a displacement between two or more structures (e.g., overlay displacement between overlaying grating structures, etc.). Structures may include three dimensional structures, patterned structures, overlay structures, etc.

[00153] As described herein, the term "critical dimension application" or "critical dimension measurement

application" includes any critical dimension measurement.

[00154] As described herein, the term "metrology system" includes any system employed at least in part to

characterize a specimen in any aspect, including critical dimension applications and overlay metrology applications. However, such terms of art do not limit the scope of the term "metrology system" as described herein. In addition, the metrology systems described herein may be configured for measurement of patterned wafers and/or unpatterned wafers. The metrology system may be configured as a LED inspection tool, edge inspection tool, backside inspection tool, macro-inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously) , and any other metrology or inspection tool that benefits from the measurement techniques described herein.

[00155] Various embodiments are described herein for a semiconductor processing system (e.g., an inspection system or a lithography system) that may be used for processing a specimen. The term "specimen" is used herein to refer to a wafer, a reticle, or any other sample that may be processed (e.g., printed or inspected for defects) by means known in the art .

[00156] As used herein, the term "wafer" generally refers to substrates formed of a semiconductor or non- semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities. In some cases, a wafer may include only the substrate

(i.e., bare wafer) . Alternatively, a wafer may include one or more layers of different materials formed upon a

substrate. One or more layers formed on a wafer may be "patterned" or "unpatterned . " For example, a wafer may include a plurality of dies having repeatable pattern features .

[00157] A "reticle" may be a reticle at any stage of a reticle fabrication process, or a completed reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle, or a "mask," is generally defined as a substantially transparent substrate having substantially opaque regions formed thereon and configured in a pattern. The substrate may include, for example, a glass material such as amorphous Si02. A reticle may be disposed above a resist-covered wafer during an exposure step of a lithography process such that the pattern on the reticle may be transferred to the resist.

[00158] One or more layers formed on a wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies, each having repeatable pattern

features. Formation and processing of such layers of material may ultimately result in completed devices. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is being fabricated .

[00159] In one or more exemplary embodiments, the

functions described may be implemented in hardware, software, firmware, or any combination thereof. If

implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media

including any medium that facilitates transfer of a

computer program from one place to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of

instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a

general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable

medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL) , or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the

definition of medium. Disk and disc, as used herein, includes compact disc (CD) , laser disc, XRF disc, digital versatile disc (DVD) , floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer- readable media. [00160] Although certain specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of various features of the described

embodiments can be practiced without departing from the scope of the invention as set forth in the claims.