Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
GAPFILL USING REACTIVE ANNEAL
Document Type and Number:
WIPO Patent Application WO/2018/187546
Kind Code:
A1
Abstract:
Methods for seam-less gapfill comprising forming a flowable film by PECVD, annealing the flowable film with a reactive anneal to form an annealed film and curing the flowable film or annealed film to solidify the film. The flowable film can be formed using a higher order silane and plasma. The reactive anneal may use a silane or higher order silane. A UV cure, or other cure, can be used to solidify the flowable film or the annealed film.

Inventors:
MALLICK ABHIJIT BASU (US)
MANNA PRAMIT (US)
JIANG SHISHI (US)
Application Number:
PCT/US2018/026219
Publication Date:
October 11, 2018
Filing Date:
April 05, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L21/768; H01L21/02; H01L21/324
Foreign References:
US7629227B12009-12-08
US20150099342A12015-04-09
US20150179501A12015-06-25
US20120142192A12012-06-07
US20140017904A12014-01-16
Attorney, Agent or Firm:
BLANKMAN, Jeffrey I. (US)
Download PDF:
Claims:
What is claimed is:

A processing method comprising:

providing a substrate surface having at least one feature thereon, the at least one feature extending a depth from the substrate surface to a bottom surface, the at least one feature having a width defined by a first sidewall and a second sidewall;

forming a flowable film on the substrate surface and the first sidewall, second sidewall and bottom surface of the at least one feature, the flowable film filling the feature with substantially no seam formed; and

annealing the flowable film to form an annealed film; and

curing the annealed film to solidify the film and form a substantially seam-free gapfill.

The processing method of claim 1 , wherein forming the flowable film comprises plasma-enhanced chemical vapor deposition (PECVD).

The processing method of claim 2, wherein the PECVD comprises a polysilicon precursor and a plasma comprising a plasma gas.

The processing method of claim 3, wherein the polysilicon precursor comprises one or more of disilane, trisilane, tetrasilane, neopentasilane or cyclohexasilane.

The processing method of claim 3, wherein the plasma gas comprises one or more of He, Ar, Kr, H2, N2, O2, O3 or NH3.

The processing method of claim 5, wherein the plasma has a power less than about 300 W.

The processing method of claim 5, wherein the plasma is a direct plasma.

8. The processing method of claim 1 , wherein forming the flowable film occurs at a temperature less than about 100 QC.

9. The processing method of claim 1 , wherein curing the annealed film comprises a UV cure.

10. The processing method of claim 9, wherein the UV cure occurs at a temperature in the range of about 10 QC to about 550 QC. 1 1 . The processing method of claim 1 , wherein curing the annealed film film comprises exposing the annealed film film to a plasma separate from the PECVD plasma and/or an electron beam.

12. The processing method of claim 3, wherein the flowable film comprises one or more of SiN, SiO, SiC, SiOC, SiON, SiCON.

13. The processing method of claim 12, wherein the PECVD further comprises one or more of propylene, acetylene, ammonia, oxygen, ozone or water. 14. The processing method of claim 1 , wherein annealing the flowable film comprises exposes the flowable film to an anneal reactant at an anneal temperature and an anneal pressure.

15. The processing method of claim 14, wherein the anneal reactant comprises one or more of silane or disilane.

Description:
GAPFILL USING REACTIVE ANNEAL

TECHNICAL FIELD

[0001] The present disclosure relates generally to methods of depositing thin films. In particular, the disclosure relates to processes for forming gapfill films with low hydrogen content.

BACKGROUND

[0002] In microelectronics device fabrication there is a need to fill narrow trenches having aspect ratios (AR) greater than 10:1 with no voiding for many applications. One application is for shallow trench isolation (STI). For this application, the film needs to be of high quality throughout the trench (having, for example, a wet etch rate ratio less than two) with very low leakage. As the dimensions of the structures decrease and the aspect ratios increase post curing methods of the as deposited flowable films become difficult. Resulting in films with varying composition throughout the filled trench. [0003] Amorphous silicon has been widely used in semiconductor fabrication processes as a sacrificial layer since it can provide good etch selectivity with respect to other films (e.g., silicon oxide, amorphous carbon, etc.). With decreasing critical dimensions (CD) in semiconductor fabrication, filling high aspect ratio gaps becomes increasingly sensitive for advanced wafer fabrication. Current metal replacement gate processes involve a furnace poly-silicon or amorphous silicon dummy gate. A seam forms in the middle of the Si dummy gate due to the nature of process. This seam may be opened up during the post process and cause structure failure.

[0004] A flowable film can be deposited to fill up a trench without forming a seam. The as-deposited flowable film has a high hydrogen composition which impacts film density and quality. Various curing methods could reduce the hydrogen composition and improve the film quality; however, voiding occurs in the cured films. Therefore, there is a need for methods for gapfill in high aspect ratio structures that can provide seam-free film growth. SUMMARY

[0005] One or more embodiments of the disclosure are directed to processing methods comprising providing a substrate surface having at least one feature thereon. The at least one feature extends a depth from the substrate surface to a bottom surface. The at least one feature has a width defined by a first sidewall and a second sidewall. A flowable film is formed on the substrate surface and the first sidewall, second sidewall and bottom surface of the at least one feature. The flowable film fills the feature with substantially no seam formed. The flowable film is annealed to form an annealed film. The annealed film is cured to solidify the film and form a substantially seam-free gapfill.

[0006] Additional embodiments of the disclosure are directed to processing methods comprising providing a substrate surface having at least one feature thereon. The at least one feature extends a depth from the substrate surface to a bottom surface. The at least one feature has a width defined by a first sidewall and a second sidewall and an aspect ratio greater than or equal to about 25:1 . A flowable silicon film is formed by PECVD on the substrate surface and the first sidewall, second sidewall and bottom surface of the at least one feature. The flowable film fills the feature with substantially no seam formed. The flowable film is treated with a post- treatment process to form an annealed film. The annealed film is cured to solidify the film and form a substantially seam-free gapfill.

[0007] Further embodiments of the disclosure are directed to processing method comprising providing a substrate surface having at least one feature thereon, the at least one feature extending a depth from the substrate surface to a bottom surface. The at least one feature has a width defined by a first sidewall and a second sidewall and an aspect ratio greater than or equal to about 25:1 . A flowable silicon film is formed by a PECVD process on the substrate surface and the first sidewall, second sidewall and bottom surface of the at least one feature. The flowable film fills the feature with substantially no seam formed. The PECVD process comprises a polysilicon precursor and a plasma comprising a plasma gas. The polysilicon precursor comprises one or more of disilane, trisilane, tetrasilane, neopentasilane or cyclohexasilane. The plasma gas comprises one or more of He, Ar, Kr, H2, N2, 02, 03 or NH3. The plasma has a power less than or equal to about 200 W. The PECVD process occurs at a temperature less or equal to about 100 Q C. The flowable film is exposed to a post-treatment process comprising exposure to an anneal reactant at an anneal temperature and anneal pressure. The anneal reactant comprises one or more of silane or disilane. The anneal temperature is in the range of about 100 Q C to about 400 Q C. The anneal pressure is in the range of about 100 T to about 500 T. The annealed film is exposed to a UV cure to solidify the film and form a substantially seam-free gapfill.

BRIEF DESCRIPTION OF THE DRAWINGS

[0008] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

[0009] FIG. 1 shows a cross-sectional view of a substrate feature in accordance with one or more embodiment of the disclosure;

[0010] FIG. 2 shows a cross-sectional view of the substrate feature of FIG. 1 with a flowable film thereon; and

[0011] FIG. 3 shows a cross-sectional view of the substrate feature of FIG. 1 with an annealed film thereon.

DETAILED DESCRIPTION

[0012] Before describing several exemplary embodiments of the invention, it is to be understood that the invention is not limited to the details of construction or process steps set forth in the following description. The invention is capable of other embodiments and of being practiced or being carried out in various ways.

[0013] A "substrate" as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present invention, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term "substrate surface" is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

[0014] Embodiments of the disclosure provide methods of depositing a film (e.g., amorphous silicon) in high aspect ratio (AR) structures with small dimensions. Some embodiments advantageously provide methods involving cyclic deposition-treatment processes that can be performed in a cluster tool environment. Some embodiments advantageously provide seam-free high quality amorphous silicon films to fill up high AR trenches with small dimensions.

[0015] One or more embodiments of the disclosure are directed to processes where flowable amorphous silicon films are deposited which are able to fill high aspect ratio structures (e.g., AR >8:1 ) having less than 20 nm critical dimensions (CD). The films can be deposited using a polysilane precursor with plasma enhanced chemical vapor deposition (PECVD) at low temperature (e.g., <100 Q C). Plasma power for the process can be kept below about 200 W or 300 W to reduce the reaction kinetics and obtain haze free films. The chamber body temperature can also be controlled by controlling the heat exchanger temperature. Disilane, trisilane, tetrasilane, neopentasilane, cyclohexasilanes are typical polysilanes which can be used. Post- deposition treatment such as UV curing can be performed to stabilize the film. Embodiments of the process allow for the preparation of flowable SiC and SiCN films by addition of hydrocarbons and nitrogen sources to the flowable Si process. Additionally, flowable metal silicides (WSi, TaSi, NiSi) can also be deposited by adding an appropriate metal precursor to the flowable silicon process.

[0016] FIG. 1 shows a partial cross-sectional view of a substrate 100 with a feature 1 10. The Figures show substrates having a single feature for illustrative purposes; however, those skilled in the art will understand that there can be more than one feature. The shape of the feature 1 10 can be any suitable shape including, but not limited to, trenches and cylindrical vias. As used in this regard, the term "feature" means any intentional surface irregularity. Suitable examples of features include, but are not limited to trenches which have a top, two sidewalls and a bottom, peaks which have a top and two sidewalls. Features can have any suitable aspect ratio (ratio of the depth of the feature to the width of the feature). In some embodiments, the aspect ratio is greater than or equal to about 5:1 , 10:1 , 15:1 , 20:1 , 25:1 , 30:1 , 35:1 or 40:1 .

[0017] The substrate 100 has a substrate surface 120. The at least one feature 1 10 forms an opening in the substrate surface 120. The feature 1 10 extends from the substrate surface 120 to a depth D to a bottom surface 1 12. The feature 1 10 has a first sidewall 1 14 and a second sidewall 1 16 that define a width W of the feature 1 10. The open area formed by the sidewalls and bottom are also referred to as a gap.

[0018] One or more embodiments of the disclosure are directed to processing methods in which a substrate surface with at least one feature thereon is provided. As used in this regard, the term "provided" means that the substrate is placed into a position or environment for further processing.

[0019] As shown in FIG. 2, a flowable film 150 is formed on the substrate surface 120 and the first sidewall 1 14, second sidewall 1 16 and bottom surface 1 12 of the at least one feature 1 10. The flowable film 150 fills the at least one feature 1 10 so that substantially no seam is formed. A seam is a gap that forms in the feature between, but not necessarily in the middle of, the sidewalls of the feature 1 10. As used in this regard, the term "substantially no seam" means that any gap formed in the film between the sidewalls is less than about 1 % of the cross-sectional area of the sidewall. [0020] The flowable film 150 can be formed by any suitable process. In some embodiments, the forming the flowable film is done by plasma-enhanced chemical vapor deposition (PECVD). Stated differently, the flowable film can be deposited by a plasma-enhanced chemical vapor deposition process. [0021] The PECVD process of some embodiments comprises exposing the substrate surface to a reactive gas. The reactive gas can include a mixture of one or more species. For example, the reactive gas may comprise a silicon precursor and a plasma gas. The plasma gas can be any suitable gas that can be ignited to form a plasma and/or can act as a carrier or diluent for the precursor. [0022] In some embodiments, the silicon precursor comprises a higher order silane, also referred to as a polysilicon species, and is referred to as a polysilicon precursor. The polysilicon precursor of some embodiments comprises one or more of disilane, trisilane, tetrasilane, neopentasilane and/or cyclohexasilane. In one or more embodiments, the polysilicon precursor comprises tetrasilane. In some embodiments, the polysilicon precursor consists essentially of tetrasilane. As used in this regard, the term "consists essentially of" means that the silicon species of the reactive gas is made up of about 95% or more of the designated species on a molar basis. For example, a polysilicon precursor consisting essentially of tetrasilane means that the silicon species of the reactive gas is greater than or equal to about 95% tetrasilane on a molar basis.

[0023] In some embodiments, the plasma gas comprises one or more of He, Ar, H 2 , Kr, N 2 , O 2 , O 3 or NH 3 . The plasma gas of some embodiments is used as a diluent or carrier gas for the reactive species (e.g., the polysilicon species) in the reactive gas. [0024] The plasma can be generated or ignited within the processing chamber (e.g., a direct plasma) or can be generated outside of the processing chamber and flowed into the processing chamber (e.g., a remote plasma). The plasma power can be maintained at a low enough power to prevent reduction of the polysilicon species to silanes and/or to minimize or prevent haze formation in the film. In some embodiments, the plasma power is less than or equal to about 300 W. In one or more embodiments, the plasma power is less than or equal to about 250 W, 200 W, 150 W, 100 W, 50 W or 25 W. In some embodiments, the plasma power is in the range of about 10 W to about 200 W, or in the range of about 25 W to about 175 W, or in the range of about 50 W to about 150 W.

[0025] The flowable film 150 can be formed at any suitable temperature. In some embodiments, the flowable film 150 is formed at a temperature in the range of about -100 Q C to about 50 Q C, or in the range of about -75 Q C to about 40 Q C, or in the range of about -50 Q C to about 25 Q C, or in the range of about -25 Q C to about 0 Q C. The temperature can be kept low to preserve the thermal budget of the device being formed. In some embodiments, forming the flowable film occurs at a temperature less than about 50 Q C, 40 Q C, 30 Q C, 20 Q C, 10 Q C, 0 Q C, -10 Q C, -20 Q C, -30 Q C, -40 Q C, -50 Q C, -60 Q C, -70 Q C, -80 Q C or -90 Q C.

[0026] The flowable film 150 can be formed at any suitable pressure. In some embodiments, the pressure used for formation of the flowable film 150 is in the range of about 0.5 T to about 50 T, or in the range of about 0.75 T to about 25 T, or in the range of about 1 T to about 10 T, or in the range of about 2 T to about 8 T, or in the range of about 3 T to about 6 T.

[0027] The composition of the flowable film can be adjusted by changing the composition of the reactive gas. In some embodiments, the flowable film comprises one or more of SiN, SiO, SiC, SiOC, SiON, SiCON. To form an oxygen containing film, the reactive gas may comprise, for example, one or more of oxygen, ozone or water. To form a nitrogen containing film, the reactive gas may comprise, for example, one or more of ammonia, hydrazine, NO 2 or N 2 . To form a carbon containing film, the reactive gas may comprise, for example, one or more of propylene and acetylene. Those skilled in the art will understand that combinations of or other species can be included in the reactive gas mixture to change the composition of the flowable film.

[0028] In some embodiments, the flowable film comprises a metal silicide. The reactive gas mixture may include, for example, a precursor comprising one or more of tungsten, tantalum or nickel. Other metal precursors can be included to change the composition of the flowable film. [0029] Referring to FIG. 3, after formation of the flowable film 150, the flowable film 150 can be treated with a reactive anneal process to prevent void formation in the final gapfill film. The flowable film 150 can be exposed to a reactive gas under annealing conditions to form an annealed film 155. Treatment of the flowable film 150 with the reactive anneal may also be referred to as post-treatment. As used in this manner, the term "post-treatment" refers to a process that occurs after formation of the flowable film 150. When the composition of the flowable film 150 is adjusted using various reactants, treatment of the flowable film causes a change in the composition of the flowable film or the relative percentages of the atoms in the flowable film. For example, if the flowable film 150 is 80 % Si, 20% N on an atomic basis, treatment may result in a film that is 50% Si, 50% N on an atomic basis. In some embodiments, exposure to the reactive anneal process results in a film with a lower hydrogen content than the flowable film 150 before anneal. In some embodiments, the hydrogen content is reduced by greater than or equal to about 30%, 40%, 50%, 60%, 70%, 80% or 90% relative to the content in the flowable film 150.

[0030] The reactive anneal process comprises exposing the substrate to an anneal reactant at a suitable anneal temperature and anneal pressure. The anneal reactant of some embodiments, comprises a silicon compound. In one or more embodiments, the anneal reactant comprises one or more of silane, disilane, trisilane or a higher order silane (i.e., having more than three silicon atoms). In some embodiments, the anneal reactant is co-flowed with a diluent or carrier gas. For example, the anneal reactant may comprise silane in an argon carrier gas. In some embodiments, the carrier gas is also reactive and helps cure the flowable film 150 without formation of voids. In some embodiments, the anneal reactant comprises the same silicon species as the precursor used in formation of the flowable film 150. In some embodiments, the anneal reactant comprises a different species than the reactant used in formation of the flowable film 150.

[0031] The reactive anneal of some embodiments occurs without plasma. In some embodiments, a plasma can be generated during the reactive anneal process. The plasma can be formed using the anneal reactant or a post-treatment plasma species different from the anneal reactant. For example, the anneal reactant might be flowed with a diluent or carrier gas which is used to ignite the plasma (e.g., argon). The anneal reactant can be continuously flowed or pulsed into the processing chamber.

[0032] The anneal temperature during the reactive anneal of some embodiments is in the range of about 100 Q C to about 500 Q C, or in the range of about 100 Q C to about 400 Q C, or in the range of about 125 Q C to about 375 Q C, or in the range of about 150 Q C to about 350 Q C, or in the range of about 175 Q C to about 325 Q C, or in the range of about 200 Q C to about 300 Q C. In some embodiments, the anneal temperature is greater than or equal to about 100 Q C, 150 Q C, 200 Q C, 250 Q C, 300 Q C, 350 Q C, 400 Q C, 450 Q C or 500 Q C. [0033] The anneal pressure during the reactive anneal process can be in the range of about 100 T to about 500 T, or in the range of about 150 T to about 450 T, 200 T to about 400 T. In some embodiments, the anneal pressure during the reactive anneal is greater than or equal to about 50 T, 100 T, 150 T, 200 T, 250 T, 300 T or 350T.

[0034] After formation of the flowable film 150 or the annealed film 155, the film is cured to solidify the flowable film 150 or the annealed film 155 and form a substantially seam-free gapfill. In some embodiments, the flowable film 150 or the annealed film 155 is cured by exposing the film to a UV curing process. The UV curing process can occur at a temperature in the range of about 10 Q C to about 550 Q C. The UV curing process can occur for any suitable time frame necessary to sufficiently solidify the flowable film 150 or the annealed film 155. In some embodiments, the UV cure occurs for less than or equal to about 10 minutes, 9 minutes, 8 minutes, 7 minutes, 6 minutes, 5 minutes, 4 minutes, 3 minutes, 2 minutes or 1 minute.

[0035] In some embodiments, curing the flowable film 150 or the annealed film 155 comprises exposure to a plasma or an electron beam. A plasma exposure to cure the film comprises a plasma separate from the PECVD plasma or the post-treatment plasma. The plasma species and processing chamber can be the same or different and the plasma cure may be a different step than the PECVD process or the post- treatment plasma. In some embodiments, the post-treatment plasma treats and cures the flowable film 150 at the same time to form a cured annealed film 155. [0036] Some embodiments of the disclosure provide cured gapfill films with low hydrogen content. In some embodiments, after curing the film, the gapfill film has a hydrogen content less than or equal to about 10 atomic percent. In some embodiments, the cured film has a hydrogen content less than or equal to about 5 atomic percent, 4 atomic percent, 3 atomic percent, 2 atomic percent or 1 atomic percent. [0037] According to one or more embodiments, the substrate is subjected to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool" or "clustered system," and the like. [0038] Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present invention are the Centura ® and the Endura ® , both available from Applied Materials, Inc., of Santa Clara, Calif. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.

[0039] According to one or more embodiments, the substrate is continuously under vacuum or "load lock" conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are "pumped down" under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.

[0040] The substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed. The substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.

[0041] During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature. [0042] The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discreet steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

[0043] Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

[0044] Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and their equivalents.