Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HALOGENATED OXIME DERIVATIVES AND THE USE THEREOF AS LATENT ACIDS
Document Type and Number:
WIPO Patent Application WO/2004/074242
Kind Code:
A2
Abstract:
Compounds of the formula (I) or (II) wherein R1 is C1-C10haloalkylsulfonyl, halobenzenesulfonyl, C2-C10haloalkanoyl, halobenzoyl; R2 is halogen or C1-C10haloalkyl; Arl is phenyl, biphenylyl, fluorenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl, all of which are optionally substituted; Ar'1 is for example phenylene, naphthylene, diphonylene, heteroarylene, oxydiphenylene, phenyleneD-D1-D-phenylene or -A r'1-A1-Y1-A1-A r'1-; wherein these radicals optionally are substituted; Ae', is phenylene, naphthylene, anthracylene, phenanthrylene, or heteroarylene, all optionally substituted; A, is for exampfe a direct bond, -0-, -S-, or -NR6-; Y, inter alia is C1-C18alkylene; X is halogen; D is for example -0-, -S- or -NR6-; D, inter alia is C1-C18alkylene; are particularly suitable as photolatent acids in ArF resist technology.

Inventors:
YAMATO HITOSHI (JP)
ASAKURA TOSHIKAGE (JP)
MATSUMOTO AKIRA (CH)
MURER PETER (CH)
HINTERMANN TOBIAS (CH)
Application Number:
PCT/EP2004/050096
Publication Date:
September 02, 2004
Filing Date:
February 09, 2004
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
CIBA SC HOLDING AG (CH)
YAMATO HITOSHI (JP)
ASAKURA TOSHIKAGE (JP)
MATSUMOTO AKIRA (CH)
MURER PETER (CH)
HINTERMANN TOBIAS (CH)
International Classes:
C07C251/32; C07C251/48; C07C251/50; C07C251/62; C07C317/04; C07C381/00; C07D209/86; C07D307/91; C07D333/76; G03F7/004; C07D; (IPC1-7): C07D/
Domestic Patent References:
WO2002025376A22002-03-28
WO2002100903A12002-12-19
Foreign References:
US6261738B12001-07-17
US20010037037A12001-11-01
US4566901A1986-01-28
Other References:
None
Attorney, Agent or Firm:
CIBA SPECIALTY CHEMICALS HOLDING INC. (Klybeckstrasse 141, Basel, CH)
Download PDF:
Claims:
Patent Claims
1. A compound of the formula I or 11 wherein R, is C1C10haloalkylsulfonyl, halobenzenesulfonyl, C2C10haloalkanoyl, or halobenzoyl, all of which are optionally substituted by one or moreN02,CN,Ar2, (CO) R7, (CO) OR3, (CO)NR4R5, O(CO)R7, O(CO)OR3, O(CO)NR4R5, NR6(CO)R7, NR8(CO)OR3, OR3, NR4R5, SR6, SOR7, SO2R7, OSO2R7, C1C18alkyl, phenylC1C3alkyl, C3C30cycloalkyl ; or by C3C30cycloalkyl which is interrupted by one or moreO,S,NR6,O (CO) , or NR6 (CO); is halogen or C1C10haloalkyl ; Ar, is phenyl, biphenylyl, fluorenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl, all of which are optionally substituted by one or more C1C18alkyl, C1C8haloalkyl, phenylC1 C3alkyl, halogen,NO2,CN,Ar2,(CO) R7,(CO) OR3,(CO) NR4Rs,O (CO) R7,O (CO) OR3, O (CO) NR4Rs,NRs (CO) R7,NRs (CO) OR3,OR3,NR4Rs,SRs,SOR,,SO2R7,OS02R7, C3C30oycloalkyl ; or by C3C3ocycloaIl°yI which is interrupted by one or moreO,S,NR6, O (CO) , orNR6 (CO) ; optionally the substituents(CO) R7,(CO) OR3,(CO) NR4Rs,O (CO) R7,O (CO) OR3, O (CO) NR4Rs,NR6 (CO) R7,NR6 (CO) OR3,OR3,NR4Rs,SRs,SOR7,SO2R7 and/or OSO2R7 form 5, 6or 7membered rings, via the radicals R3, R4, R5, R6 and/or R7, with further substituents on the phenyl, biphenylyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring or with one of the carbon atoms of the phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring; or optionally the substituents C1C18alkyl form alkylen bridges from one carbon atom of the phenyl, biphenylyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring to another carbon atom of said ring; said alkylen bridges optionally being condensed with further phenyl rings; wherein all radicals Art optionally additionally are substituted by a group having a OC bond or aOSibond which cleaves upon the action of an acid; with the proviso, that if Ri is Clhaloalkyl and R2 is halogen, then Art is not unsubstituted phenyl or 4chlorophenyl ; Ar*t is phenylen, naphthylene, diphenylene, heteroarylene, oxydiphenylene or , wherein these radicals optionally are substituted by one or more C1C18alkyl, C1C18haloalkyl, phenylC1C3 alkyl, C3C30cycloalkyl, halogen, NO2, CN, Ar2, (CO)R7, (CO)OR3, (CO)NR4R5, O (CO) R7,O (CO) OR3,O (CO) NR4Rs,NR6 (CO) R7,NR6 (CO) OR3,OR3,NR4Rs, SR6, SOR7, SO2R7 and/or OSO2R7, wherein all radicals Ar', optionally additionally are substituted by a group having a OC bond or aOSibond which cleaves upon the action of an acid, or Ar', is a group Ar"1A1Y1A1Ar"1 ; Ar"1 is phenylen, naphthylene, anthracylene, phenanthrylene, or heteroarylene, all of which are optionally substituted by one or more C1C18alkyl, C1C8haloalkyl, phenylC1 C3alkyl, C3C30cycloalkyl ; C3C30cycloalkyl which is interrupted by one or moreO,S, NR6,O (CO) , orNR6 (CO) ; or are substituted by halogen,NO2,CN,Ar2,(CO) R7, (CO) OR3, (CO) NR4R5,O (CO) R7,O (CO) OR3,O (CO) NR4R5,NR6 (CO) R7, NR6 (CO) OR3,OR3,NR4R5,SR6,SOR7,S02R7 and/orOSO2R7, optionally the substituents (CO)R7, (CO)OR3, (CO)NR4R5, O (CO) R7,O (CO) OR3,O (CO) NR4R5, NR6 (CO) R7,NR6 (CO) OR3,OR3,NR4R5,SRe,SOR7,SO2R7 and/or OSO2R7 form 5, 6 or 7membered rings, via the radicals R3, R4, R5, R6 and/or R7, with further substituents on the phenylen, naphthylene, anthracylene, phenanthrylene, or heteroarylene ring or with one of the carbon atoms of the phenylen, naphthylene, anthracylene, phenanthrylene, or heteroaryiene ring; wherein all radicals Ar", optionally additionally are substituted by a group having aOC bond or aOsibond which cleaves upon the action of an acid; A, is a direct bond,0,S,NRe,CO,O (CO) ,S (CO),NR6 (CO),SO,SO2, or OSOz ; or A1 is C1C18alkylene or phenylen wherein these radicals are unsubstituted or substituted by one or more C1C12alkyl, C1C4haloalkyl, halogen, OR3 and/or SR6 ; Yi is CiCiaaikyiene which is substituted by OR3, SR6, halogen and/or phenyl ; or Y1 is C2 Cisaikyiene, which is interrupted by one or moreO,S,NR6,O (CO) ,S (CO) , NR3 (CO),SO,SO2and/orOSO2, and the radical C2C18alkylene being substituted by OR3, SR6, halogen and/or phenyl ; X is halogen ; R3 is phenyl, naphthyl, C3C30cycloalkyl, C1C8alkyl, C1C10haloalkyl, C2C12alkenyl, C4 C3ocycloalkenyl ; or is C2C18alkyl which is interrupted by one or more0 ; or is C3 Csocycioaikyi which is interrupted by one or more0,S,NR23,O (CO) orNR23 (CO) ; or R3 is C2C18alkanoyl, benzoyl, C1C18alkylsulfonyl, phenylsulfonyl, naphthylsulfonyl, anthracylsulfonyl or phenanthrylsulfonyl ; all of which optionally are substituted by one or moreAr2, OH, C1C18alkyl, C1C10haloalkyl, phenylC1C3alkyl, C3C30cycloalkyl, halogen,NO2,CN, C1C18alkoxy, phenoxy, phenoxy carbonyl, phenylthio, phenylthiocarbonyl, NR4R5, C1C12alkylthio, C2C18alkoxycarbonyl, C2 C10haloalkanoyl, halobenzoyl, C1C18alkylsulfonyl, phenylsulfonyl, (4methylphenyl)sulfonyl, C1C18alkylsulfonyloxy, phenylsulfonyloxy, (4methylphenyl)sulfonyloxy, C2C18alkanoyl, C2 C18alkanoyloxy, benzoyl and/or by benanoyloxy ; or R3 is hydrogen ; R4 and R5 independently of each other are phenyl, naphthyl, C3C30cycloalkyl, C1C18alkyl, ClClohaloalkyl, C2C12alkenyl, C4C30cycloalkenyl ; or are C2C18alkyl which is interrupted by one or more O; or are C3C30cydoalkyl which is interrupted by one or more O, S, NR6, O (CO) , orNR6 (CO) ; or are C2Claalkanoyl, benzoyl, ClCl8alkylsulfonyl, phenyisulfonyl, naphthylsulfonyl, anthracylsulfonyl or phenanthrylsulfonyl ; all of which optionally are substituted by one or more Ar2, OH, C1C18alkyl, C1C10haloalkyl, phenylC1C3alkyl, C3C30cycloalkyl, halogen, NO2, CN, C1C18alkoxy, phenoxy, phenoxy carbonyl, phenylthio, phenylthiocarbonyl,NR4RS, C1C12alkylthio, C2C18alkoxycarbonyl, C2 <BR> <BR> <BR> <BR> Clohaloalkanoyl, halobenzoyl, ClC,, alkylsulfonyl, phenylsulfonyl, (4methylphenyl) sulfonyl, C1C18alkylsulfonyloxy, phenylsulfonyloxy, (4methylphenyl)sulfonyloxy, C2C18alkanoyl, C2 C18alkanoylxoy, benzoyl and/or by benzoyloxy ; or R4 and Rs independently of each other are hydrogen; or R4 and Rsl together with the nitrogen atom to which they are attached, form a 5, 6or 7 membered ring which optionally is interrupted by one or moreO,NR8orCO; R6 is phenyl, naphthyl, C3C30cycloalkyl, C1C18alkyl, C1C10haloalkyl, C2C12alkenyl, C4 C30cycloalkenyl ; or is C 2C18alkyl which is interrupted by one or more O; or is C 3 C3ocycloalkyl which is interrupted by one or moreO,S,NR6,O (CO) , orNR6 (CO) ; or is C2C18alkanoyl, benzoyl, C1C18alkylsulfonyl, phenylsulfonyl, naphthylsulfonyl, anthracylsulfonyl or phenanthrylsulfonyl ; all of which optionally is substituted by one or more Ar2, OH, C1C18alkyl, C1C10haloalkyl, phenylC1C3alkyl, C3C30cycloalkyl, halogen, NO2, CN, C1C18alkoxy, phenoxy, phenoxy carbonyl, phenylthio, phenylthiocarbonyl,NR4R5, ClClpalkylthio, C2C, 8alkoxycarbonyl, C2 C10haloalkanoyl, halobenzoyl, C1C18alkylsulfonyl, phenylsulfonyl, (4methylphenyl)sulfonyl, C1C18alkylsulfonyloxy, phenylsulfonyloxy, (4methylphenyl)sulfonyloxy, C2C18alkanoyl, C2 C18alkanoyloxy, benzoyl and/or by benzoyloxy ; or R, is hydrogen; R7 is phenyl, naphthyl, C3C30cycloalkyl, C1C18alkyl, C1C10haloalkyl, C2C12alkenyl, C4 C30cycloalkenyl ; or is C2C18alkyl which is interrupted by one or more O; or is C3 C30cycloalkyl which is interrupted by one or moreO,S,NR6,O (CO) , orNR6 (CO) ; all of which optionally are substituted by one or more Ar2, OH, C1C18alkyl, C1C10haloalkyl, phenylC1C3alkyl, C3C30cycloalkyl, halogen, NO2, CN, C1C18alkoxy, phenoxy, phenoxy carbonyl, phenylthio, phenylthiocarbonyl, NR4R5, C1C12alkylthio, C2C18alkoxycarbonyl, C2 C10haloalkanoyl, haloberzoyl, C1C18alkylsulfonyl, phenylsulfonyl, (4methlphenyl)sulfonyl, C1C18alkylsulfonyloxy, phenylsulfonyloxy, (4methylphyenyl)sulfonyloxy, C2C18alkanoyl, C2 C,aalkanoyloxy, benzoyl andlor by benzoyloxy ; or R7 is hydrogen; Art is phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl, all of which optionally are substituted by one or more C1C18alkyl, C1C10haloalkyl, phenyl C1C3alkyl, C3C30cycloalkyl ; C3C3ocycloalkyl which is interrupted by one or moreO,S, NR6, O (CO) , orNR6 (CO) ; or is substituted by halogen,NO2,CN, phenyl, (CO) R7, (CO)OR3, (CO)NR4R5, O (CO) R7,O (CO) OR3,O (CO) NR4R5,NR6 (CO) R7, NR6 (CO) OR3,OR3,NR4R5,SR6,SOR7,SOzR7 and/orOSOzR7, optionally the substituents (CO) R7, (CO) OR3, (CO) NR4R5,O (CO) R7,O (CO) OR3,O (CO) NR4R5, NRo (CO) R7,NR6 (CO) OR3, OR3, NR4R5, SR6, SOR7, SO2R7 and/or OSO2R7 form 5, 6 or 7membered rings, via the radicals R3, R4, R5, R6 and/or R7, with further substituents on the phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring or with one of the carbon atoms of the phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring; D is O, S, NR6, CO, O (CO) ,S (CO),NR6 (CO),SO,SO2, or OSO2; and D, is C1C18alkylene or C2C, 8alkylene, which is interrupted by one or moreO,S,NRB , CO, O (CO) ,S (cO),NR6 (CO),SO,S02, and/orOS02.
2. A compound of the formula I or 11 according to claim 1, wherein Rr is C,C, ohaloalkylsulfonyl ; R2, R3, R4, R5, R6, R7, Ar1, Ar'1, Ar"1 Ar2, A1, Y1, X, D and D are as defined in claim 1.
3. A compound of the formula I or 11 according to claim 1, wherein R, is C,Clohaloalkylsulfonyl, which optionally is substituted by OR3 ; R2 is halogen or C1C10haloalkyl ; Ar, is phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl, all of which are optionally substituted by one or more C1C18alkyl, Ar2, OR3, NR4R5, and/or SR8, optionally the substituents OR3, NR4R5, and/or SR6, form 5, 6or 7membered rings, via the radicals R3, R4, R5, and/or R6, with further substituents on the phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl ring or with one of the carbon atoms of the phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl ring ; or optionally the substituents Cr C18alkyl form alkylene bridges from one carbon atom of the phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl ring to another carbon atom of said ring; said alkylen bridges optionally being condensed with further phenyl rings; Ael is heteroarylene, which optionally substituted by one or more C1C18alkyl, halogen, Ar2, OR3, NR4R5, and/or SR6 ; or is X is fluorine ; R3 is C1C18alkyl, C1C10haloalkyl or phenyl ; R4 and Rs are C1C18alkyl ; R6 is phenyl ; Ar2 is phenyl ; D is O; and D, is C1C18alkylene.
4. A chemically amplified photoresist composition comprising (a) a compound which cures upon the action of an acid or a compound whose solubility is increased upon the action of an acid; and (b) as photosensitive acid donor, at least one compound of the formula I or 11 according to claim 1.
5. A chemically amplified photoresist composition according to claim 4, which is a positive resist.
6. A chemically amplified positive photoresist composition according to claim 5, comprising (a1) at least one polymer having an acidlabile group which decomposes in the presence of an acid to increase the solubility in aqueous alkaline developer solution; and/or (a2) at least one monomeric or oligomeric dissolution inhibtor having an acidlabile group which decomposes in the presence of an acid to increase the solubility in aqueous alkaline developer solution ; and/or (a3) at least one alkalisoluble monomeric, oligomeric or polymeric compound; and (b) as photosensitive acid donor, at least one compound of formula I or 11 according to claim 1.
7. A chemically amplified photoresist composition according to claim 4, which is a negative resist.
8. A chemically amplified negative photoresist composition according to claim 7, comprising (a4) an alkalisoluble resin as binder; (a5) a component which, when catalysed by an acid undergoes a crosslinking reaction with itself and/or with the binder; and (b) as photosensitive acid donor, at least one compound of formula I or 11 according to claim 1.
9. A chemically amplified photoresist composition according to anyone of claims 48, in addition to components (a) and (b), or components (a1), (a2), (a3) and (b), or components (a4), (a5) and (b) comprising further additives (c), further photosensitive acid donor compounds (b1), other photoinitiators (d), and/or sensitizers (e).
10. A process for the preparation of a photoresist by (1) applying to a substrate a composition according to claim 4; (2) post apply baking the composition at temperatures between 60°C and 160°C ; (3) imagewise irradiating with light of wavelengths between 10 nm and 1500 nm; (4) optionally post exposure baking the composition at temperatures between 60°C and 160°C ; and (5) developing with a solvent or with an aqueous alkaline developer.
11. A compound of the formula 1'or 11' R'2 is Crcrohaloalkyl ; Ar1, Ar'1, Ar"1, A1, Y1 and X are as defined in claim 1, with the proviso, that if are is phenyl, which is unsubstituted or substituted by methyl, chloro, or nitro, and X is fluorine, then R'2 is not C1C2haloalkyl.
12. A process for the specific preparation of the thermally stable isomer of the oxime ester compounds of formula I or li according to claim 1 by (1) treating the isomeric mixture of the corresponding free oxime compounds of formula I" or 11", obtained by conventional methods, Ra Ar1, Ar'1, Ar"1, A1, Y1 and X are as defined in claim 1 with an acid; and (2) reacting the thus prepared single isomeric free oxime compound with the corresponding acid halides or acid anhydrides of formula V or Vl R1Cl (V), R1OR1 (VI).
13. A composition comprising (a) a compound which cures upon the action of an acid or a compound whose solubility is increased upon the action of an acid; and (b) as photosensitive acid donor, at least one compound of the formula I or 11 according to claim 1.
14. Use of compounds of formula I or II according to claim 1 as photosensitive acid donors in compositions that can be crosslinked under the action of an acid and/or as dissolution enhancers in compositions wherein the solubility is increased under the action of an acid.
15. Process for crosslinking compounds that can be crosslinked under the action of an acid, which method comprises adding a compound of formula I or 11 according to claim 1 to the abovementioned compounds and irradiating imagewise or over the whole area with light having a wavelength of 101500 nm.
16. Use of compounds of formula I or 11 according to claim 1 as photosensitive acid donors in the preparation of surface coatings, printing inks, printing plates, dental compositions, colour filters, resists or imagerecording materials, or imagerecording materials for recording holographic images, or optical information storage.
17. Process according to claim 15 for the preparation of surface coatings, printing inks, printing plates, dental compositions, colour filters, resists, or imagerecording materials, or imagerecording materials for recording holographic images, or optical information storage.
18. Use of compounds of formulae I or 11 according to claim 1 as photosensitive acid donors in the preparation of colour filters or chemically amplified resists.
19. Process according to claim 15 for the preparation of of colour filters or chemically amplified resists.
20. A color filter prepared by providing red, green and blue picture elements and a black matrix, all comprising a photosensitive resin and a pigment and/or dye on a transparent substrate and providing a transparent electrode either on the surface of the substrate or on the surface of the color filter layer, wherein said photosensitive resin comprises compounds of formula I or 11 according to claim 1 as photosensitive acid donors.
Description:
Haloqenated Oxime derivatives and the use thereof as latent acids The invention relates to new oxime derivatives, chemically amplified photoresist compositi- ons comprising said compounds and to the use of the compounds as latent acids, which can be activated by irradiation with actinic electromagnetic radiation and electron beams.

In US 4540598 surface-coating compositions comprising photosensitive oxime sulfonate compounds, e. g. 4-chloro-a-trifluoroacetophenonoxime benzenesulfonate and customary acid-curable resins are disclosed. In US 4736055 2,2, 2-trifluoro-1-phenyl-ethanone oxime- 0-(4-hydroxyphenylsulfonate) is described as a component for the preparation of polymers which can be used as resins in positive photoresists. In US 5627011 and US 5759740 the use of a- (4-toluene-sulfonyloxyimino)-4-methoxybenzyl cyanide and a- (4-toluene-sulfonyl- oxyimino)-3-thienylmethyl cyanide as latent acid catalysts in chemically amplified positive and negative photoresists for wavelengths of 340-390 nm, especially those in the radiation region of the mercury i line (365 nm) is described. In GB 2306958 the use of oxime- sulfonates as latent acid donors in positive and negative photoresists for wavelengths between 180 and 600 nm, especially those in the radiation region beyond 390 nm is reported. In US 5714625 non aromatic oC-(alkylsulfonyloxyimino)-1-cyclohexenylacetonitriles and ac- (all : ylsulfonyloxyimino)-1-cyclopentenylacetonitriles are disclosed. In EP 241423 oxime sulfonate compounds are employed in about 25% concentration as photolatent acid generators in non-chemically amplified positive resists. In Chemical Abstracts No.

97: 144503, 78: 97752, Synthesis (1995), 553, some fluoroketoxime sulfonate compounds are described as experimental products for synthetic studies. In US 6261738 oxime sulfonate compounds bearing non-fluorinated alkyl or aromatic sulfonate moiety are described as latent acid donors in positive and negative photoresists. In US 4566901 some halogenated oxime ester compounds are described as antidotes for protecting cultivated plants from the phytotoxic action of aggressive herbicides.

In the art exists a need for reactive non-ionic latent acid donors that are thermally and che- mically stable and that, after being activated by light, UV-radiation, X-ray irradiation or elec- tron beams can be used as catalysts for a variety of acid-catalysed reactions, such as poly- condensation reactions, acid-catalysed depolymerisation reactions, acid-catalysed electro- philic substitution reactions or the acid-catalysed removal of protecting groups. A particular need exists for latent acid catalysts with high stability and releasing a strong acid in the field of chemically amplified photoresists especially wherein the acid-catalysed removal of tert- alkyl protecting groups for carboxylic acids is utilized.

Surprisingly, it has now been found that specific oxime derivatives, as described below, are stable and especially suitable as catalysts for the aforementioned acid catalyzed reactions.

By irradiation with actinic electromagnetic radiation and electron beams, oxime derivatives according to the present invention release a strong acid (i. e a halogenated sulfonic acid) in the resist formulation, leading to high sensitivity. The optical absorption spectra of the specific compounds of the invention are tunable over a wide range of the electromagnetic spectrum and particularly suitable for applications in the deep UV range. Furthermore, chemically amplified photoresist compositions comprising oxime derivatives of the present invention are thermally stable, even at high bake temperatures during processing and provide high photospeed.

The invention accordingly relates to a compound of the formula I or 11 wherein R, is C1-C10haloalkylsulfonyl, halobenzenesulfonyl, C2-C10haloalkanoyl, or halobenzoyl, all of which are optionally substituted by one or more-NO2,-CN,-Ar2,-(CO) R7,-(CO) OR3, - (CO) NR4R5,-O (CO) R,,-O (CO) OR3,-O (CO) NR, Rs,-NRe (CO) R7,-NRs (CO) OR3,-OR3, - NR4R6,-SRo,-SOR7,-SO2R7,-OSOzR7, C,-C,aalkyl, phenyl-C,-C3-alkyl, C3-C3ocycloalkyl ; or by C3-C3ocycloalkyl which is interrupted by one or more-O-,-S-,-NRb-,-O (CO) -, or -NR6 (CO)-; R2 is halogen or Ci-Ciohaioaikyi ; Ar is phenyl, biphenylyl, fluorenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl, all of which are optionally substituted by one or more C1-C18alkyl, C1-C8haloalkyl, phenyl-C1- C3-alkyl, halogen,-NO2,-CN,-Ar2,-(CO) R7,-(CO) OR3,-(CO) NR4Rs,-O (CO) R7,-O (CO) OR3, - O (CO) NR4Rs,-NR6 (CO) R7,-NR6 (CO) OR3, -OR3, -NR4R5, -SR6, -SOR7, -SO2R7, -OSO2R7, C3-C30cycloalkyl ; or by C3-C3ocycloalkyl which is interrupted by one or more-O-,-S-,-NR6-, -O (CO)-, or -NR6(CO)-; optionally the substituents-(CO) R,,-(CO) OR3,-(CO) NR4Rs,-O (CO) R7,-O (CO) OR3, - O (CO) NR4Rs,-NR6 (CO) R7,-NR6 (CO) OR3,-OR3,-NR4R5,-SR6,-SOR7,-S02R7 and/or - OSOzR7 form 5-, 6-or 7-membered rings, via the radicals R3, R4, R5, R6 and/or R7, with further substituents on the phenyl, biphenylyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring or with one of the carbon atoms of the phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring; or optionally the substituents C1-C18alkyl form alkylen bridges from one carbon atom of the phenyl, biphenylyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring to another carbon atom of said ring; said alkylen bridges optionally being condensed with further phenyl rings; wherein all radicals Ar1 optionally additionally are substituted by a group having a -O-C- bond or a-O-Si-bond which cleaves upon the action of an acid ; with the proviso, that if Ri is C1haloalky and Ra is halogen, then Ar1 is not unsubstituted phenyl or 4-chlorophenyl ; Ar', is phenylen, naphthylene,- -, diphenylene, heteroarylene, oxydiphenylene or wherein these radicals optionally are substituted by one or more Ci-Cigalkyl, C1-C8haloalkyl, phenyl-C1-C3-alkyl, C3- C30cycloalkyl, halogen, -NO2, -CN, -Ar2, - (CO)R7, -(CO)OR3, -(CO)NR4R5, - O (CO) R7,-O (CO) OR3,-O (CO) NR4R5, -NR6 (CO) R7,-NR6 (CO) OR3, -OR3, -NR4R5, -SR6, -SOR7, -SO2R7 and/or -OSO2R7, wherein all radicals Ar'1 optionally additionally are substituted by a group having a -O-C- bond or a-O-Si-bond which cleaves upon the action of an acid, or Ar'1 is a group -Ar"1-A1-Y1-A1-Ar"1-; Ar'1 is phenylen, naphthylene, anthracylene, phenanthrylene, or heteroarylene, all of which are optionally substituted by one or more C1-C18alkyl, C1-C8haloalkyl, phenyl-C1- C3-alkyl, C3-C3ocycloalkyl ; C3-C30cycloalkyl which is interrupted by one or more-O-,-S-, -NR6-, -O (CO) -, or-NR6 (CO)- ; or are substituted by halogen,-NO2,-CN,-Ar2,-(CO) R7, -(CO)OR3, -(CO)NR4R5, -O(CO)R7, -O (CO) OR3,-O (CO) NR4Rs,-NR6 (CO) R7, -NR6 (CO) OR3,-OR3,-NR4R5,-SR6,-SOR7,-SO2R7 and/or -OSO2R7, optionally the substituents- (CO) R7,- (CO) OR3,- (CO) NR4R5,-O (CO) R7,-O (CO) OR3,-O (CO) NR4R,, -NR6 (CO) R7,-NR6 (CO) OR3, -OR3, -NR4R5, -SR6, -SOR7, -SO2R7 and/or -OSO2R7 form 5-, 6- or 7-membered rings, via the radicals R3, R4, R5, R6 and/or R7, with further substituents on the phenylen, naphthylene, anthracylene, phenanthrylene, or heteroarylene ring or with one of the carbon atoms of the phenylen, naphthylene, anthracylene, phenanthrylene, or heteroarylene ring; wherein all radicals Ar"1 optionally additionally are substituted by a group having a -O-C- bond or a-O-Si-bond which cleaves upon the action of an acid; A1 is a direct bond,-O-,-S-,-NR6-,-CO-,-O (CO)-,-S (CO)-,-NR6 (CO)-,-SO-,-SO2-, or -OSO2-; or Ai is 1-C18alkylene or phenylen wherein these radicals are unsubstituted or substituted by one or more C1-C12alkyl, C1-C4haloalkyl, halogen, OR3 and/or SR6 ; Y, is C1-C18alkylene which is substituted by OR3, SR6, halogen and/or phenyl ; or Yr is C2- C18alkylene, which is interrupted by one or more-O-,-S-,-NR6-,-O (CO) -,-S (CO) -, -NR3(CO)-, -SO-, -SO2- and/or -OSO2-, and the radical C2-C, 8alkylene being substituted by OR3, SR6, halogen and/or phenyl ; X is halogen ; R3 is phenyl, naphthyl, C3-C30cycloalkyl, C1-C18alkyl, C1-C10haloalkyl, C2-C12alkenyl, C4- C30cycloalkenyl ; or is C2-C18alkyl which is interrupted by one or more -O-; or is C3- C30cycloalkyl which is interrupted by one or more-O-,-S-,-NR23-,-O (CO)- or -NR23(CO)-; or R3 is C2-C18alkanoyl, benzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, naphthylsulfonyl, anthracylsulfonyl or phenanthrylsulfonyl ; all of which optionally are substituted by one or more-Ar2, OH, C1-C18alkyl, C1-C10haloalkyl, phenyl-C1-C3-alkyl, C3-Caocycloalkyl, halogen, -NO2, -CN, C1-C18alkoxy, phenoxy, phenoxy- carbonyl, phenylthio, phenylthiocarbonyl, -NR4R5, C1-C12alkylthio, C2-C18alkoxycarbonyl, C2- Ciohaioaikanoyi, halobenzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, (4-methylphenyl) sulfonyl, C1-C18alkylsulfonyloxy, phenylsulfonyloxy, (4-methylphenyl)sulfonyloxy, C2-C18alkanoyl, C2- C, 8alkanoyloxy, benzoyl and/or by benzoyloxy ; or R3 is hydrogen; R4 and Rs independently of each other are phenyl, naphthyl, C3-C30cycloalkyl, C1-C18alkyl, Cl-Clohaloalkyl, C2-Ci2alkenyl, C4-C30cycloalkenyl ; or are C2-C18alkyl which is interrupted by one or more -O-; or are C3-C30cycloalkyl which is interrupted by one or more-O-,-S-,-NR6-, -O (CO) -, or-NR6 (CO)- ; or are C2-C18alkanoyl, benzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, naphthylsulfonyl, anthracylsulfonyl or phenanthrylsulfonyl ; all of which optionally are substituted by one or more-Ar2, OH, C1-C18alkyl, C1-C10haloalkyl, phenyl-C1-C3-alkyl, C3-C30cycloalkyl, halogen, -NO2, -CN, C1-C18alkoxy, phenoxy, phenoxy- carbonyl, phenylthio, phenylthiocarbonyl,-NR4R5, C1-C12alkylthio, C2-C18alkoxycarbonyl, C2- C10haloalkanoyl, halobenzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, (4-methylphenyl)sulfonyl, C1-C18alkylsulfonyloxy, phenylsulfonyloxy, (4-methylphenyl)sulfonyloxy, C2-C18alkanoyl, C2- C18alkanoyloxy, benzoyl and/or by benzoyloxy ; or R4 and R8 independently of each other are hydrogen; or R4 and Rsl together with the nitrogen atom to which they are attached, form a 5-, 6-or 7- membered ring which optionally is interrupted by one or more -O-, -NR6- or -CO-; R6 is phenyl, naphthyl, C3-C30cycloalkyl, C1-C18alkyl, C1-C10haloalkyl, C2-C12alkenyl, C4- C30cycloalkenyl ; or is C 2-C18alkyl which is interrupted by one or more -O-; or is C 3- C3ocycloalkyl which is interrupted by one or more-O-,-S-,-NR6-,-O (CO) -, or-NRe (CO)- ; or is C2-C18alkanoyl, benzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, napthylsulfonyl, anthracylsulfonyl or phenanthrylsulfonyl ; all of which optionally is substituted by one or more-Ar2, OH, C-CiBalkyl, C,-C10haloalkyl, phenyl-C1-C3-alkyl, C3-C30cycloalkyl, halogen, -NO2, -CN, C1-C18alkoxy, phenoxy, phenoxy- carbonyl, phenylthio, phenylthiocarbonyl, -NR4R5, C-C12alkylthio, C2-C, salkoxycarbonyl, C2- C10haloalkanoyl, halobenzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, (4-methylphenyl)sulfonyl, C1-C18alkylsulfonyloxy, phenylsulfonyloxy, (4-methylphenyl)sulfonyloxy, C2-C18alkanoyl, C2- C, 8alkanoyloxy, benzoyl and/or by benzoyloxy ; or Re is hydrogen; R7 is phenyl, naphthyl, C3-C3ocycloalkyl, C1-C18alkyl, C1-C10haloalkyl, C2-C12alkenyl, C4- C30cycloalkenyl ; or is C2-Cl8alkyl which is interrupted by one or more -O-; or is C3- C3ocycloalkyl which is interrupted by one or more-O-,-S-,-NR6-,-O (CO) -, or-NR6 (CO)- ; all of which optionally are substituted by one or more-Ar2, OH, C1-C18alkyl, C1-C10haloalkyl, phenyl-C1-C3-alkyl, C3-C30cycloalkyl, halogen,-NOz,-CN, C1-C18alkoxy, phenoxy, phenoxy- carbonyl, phenylthio, phenylithiocarbonyl, -NR4R5, C1-C12alkylthio, C2-C18alkoxycarbonyl, C2- C10haloalkanoyl, halobenzoyl, C1-C18alkylsulfonyl, phenylsulfonyl, (4-methylphenyl)sulfonyl, C1-C18alkylsulfonyloxy, phenylsulfonyloxy, (4-methylphenyl)sulfonyloxy, C2-C18alkanoyl, C2- C18alkanoyloxy, benzoyl and/or by benzoyloxy ; or R7 is hydrogen; Ar2 is phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl, all of which optionally are substituted by one or more C1-C18alkyl, C1-C10haloakyl, phenyl- Ci-C3-alkyl, C3-C30cycloalkyl ; C3-C30cycloalkyl which is interrupted by one or more-O-,-S-, -NR6-1-O (CO) -, or-NRe (CO)-; or is substituted by halogen,-NO2,-CN, phenyl,-(CO) R7, - (CO) OR3,- (CO) NR4Rs,-0 (CO) R7,-O (CO) OR3,-O (CO) NR4Rs,-NRe (CO) R7, -NR6 (CO) OR3,-OR3,-NR4R5,-SRs,-SOR7,-SO2R7 and/or -OSO2R7, optionally the substituents - (CO)R7, -(CO)OR3, -(CO)NR4R5, -O(CO)R7, -O(CO)OR3, -O(CO)NR4R5, - NRs (CO) R7,-NR6 (CO) OR3,-OR3,-NR4Rs,-SR6,-SOR7,-SO2R7 and/or-OSO2R7 form 5-, 6- or 7-membered rings, via the radicals R3, R4, Rs, R6 and/or R7, with further substituents on the phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring or with one of the carbon atoms of the phenyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring; D is-O-,-S-,-NR6-,-CO-,-O (CO) -,-S (CO)-,-NR6 (CO)-,-SO-,-SO2-, or-OSO2-; and D is C1-C8alkylene or C2-C,salkylene, which is interrupted by one or more -O-, -S-, -NR6-, -CO-, -O (CO) -,-S (CO)-,-NR6 (CO)-, -SO-, -SO2-, and/or-OSO2.

The compounds of the formula I are characterized in that they contain a haloallzYl group higher than C-haloalkyl adjacent to the oxime moiety and they contain a halogenated sulfonate or halogenated carboxylate group in the oxime ester moiety. The compounds of the formula I are characterized in that they contain a haloalkyl group adjacent to the oxime moiety and they additionally contain a halogenated sulfonate or halogenated carboxylate group in the oxime ester moiety.

C1-C18alkyl is linear or branched and is, for example, Cl-C16-, C1-C12-, C1-C8-, Ci-Ce-or C1- C4-alkyl. Examples are methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, isobutyl, tert-butyl, pentyl, hexyl, heptyl, 2, 4, 4-trimethylpentyl, 2-ethylhexyl, octyl, nonyl, decyl, undecyl, dodecyl, tetradecyl, pentadecyl, hexadecyl, heptadecyl and octadecyl, preferably C1- C4alkyl, such as methyl, isopropyl or butyl.

C2-C18alkyl, which is interrupted once or several times by -O-, is interrupted, for example, from one to five times, for example from one to three times or once or twice, by non-succes- sive-O-. Accordingly, resulting structural units are for example :-O (CH2) 20H, - O (CH2) 20CH3,-O (CH2CH20) 2CH2CH3,-CHz-O-CH3,-CH2CH2-0-CH2CH3,- [CHzCH2O] f CH3, wherein y = 1-5, -(CH2CH2O)5CH2CH3, -CH2-CH(CH3)-O-CH2-CH2CH3 or -CH2- CH (CH3)-O-CH2-CH3.

C3-C30cycloalkyl is a mono-or polycyclic aliphatic ring, for example a mono-, bi-or tricyclic aliphatic ring, e. g. C3-C20-, C3-Cr8-, C3-C12- C3-C10cycloalkyl. Examples of monocyclic rings are cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, or cycloheptyl, especially cyclopentyl and cyclohexyl. Examples of polycyclic rings are perhydroanthracyl, perhydrophenyathryl, perhydronaphthyl, perhydrofluorenyl, perhydrochrysenyl, perhydropicenyl, adamantyl, bicyc- lo [1.1. 1] pentyl, bicyclo [4.. 2. 2] decyl, bicyclo [2. 2. 2] octyl, bicyclo [3. 3. 2] decyl, bicyclo [4. 3. 2] un- decyl, bicyclo [4. 3.3] dodecyl, bicyclo [3. 3. 3]undecyl, bicyclo [4.3. 1] decyl, bicyclo [4. 2.1] nonyl, bicyclo [3.3. 1]nonyl, bicyclo [3.2. 1] octyl and the like. Also "spiro"-cycloalkyl compounds are covered by the definition C3-C30cycloalkyl in the present context, e. g. Spiro [5. 2] octyl, spiro- [5. 4] decyl, spiro [5.5] undecyl. More examples of polycyclic cycloalkyl groups, which are subject of the respective definition in the compounds of the present invention are listed in EP 878738, page 11 and 12, wherein to the formulae (1)- (46) a bond to achieve the "yl" has to be added. The person skilled in the art is aware of this fact.

In general, the cycloaliphatic rings may form repeating structural units.

Ca-CsocYcloalkyl which is interrupted by one or more-O-,-S-,-NR6-,-O (CO)-, -SCO-, -NR6CO-, is a mono-or polycyclic aliphatic ring which is interrupted by one or more-O-,-S-, -NR6-,-O (CO)-,-SCO-,-NR6CO-, for example, C2-C12alkenyl radicals may be mono-or polyunsaturated, linear or branched and are for ex- ample C2-C8-, C2-C6-or C2-C4alkenyl. Examples are allyl, methallyl, vinyl, 1, 1-dimethylallyl, 1-butenyl, 3-butenyl, 2-butenyl, 1, 3-pentadienyl, 5-hexenyl or 7-octenyl, especially allyl or vinyl.

C4-C3ocycloalkenyl is a mono-or polycyclic and polyunsaturated ring, for example a mono-, bi-or tricyclic polyunsaturated ring, e. g. C4-C2o-, C4-C, e-, C4-Ci2-, C4-C ocycloalkenyl.

Examples of cycloalkenyl are cyclobutenyl, cyclopentenyl, cyclohexenyl, or cycloheptenyl, especially cyclopentenyl and cyclohexenyl.

Cl-C, 8alkylene is linear or branched and is, for example, C1-C8-, C1-C6- or C1-C4-alkylene.

Examples are methylene, ethylene, propylene, butylene, pentylene, hexylene, heptylene, octylene, nonylen, decylene, undecylen and dodecylene. Preferred is C1-C8alkylene, especially C1-C6alkylene, preferably C-C4alkylene, such as ethylene or butylen.

Substituted phenyl carries from one to five, for example one, two or three, especially one or two, substituents on the phenyl ring. The substitution is preferably in the 4-, 3,4-, 3, 5- or 3,4, 5-position of the phenyl ring.

When the radicals naphthyl, phenanthryl and anthracyl are substituted by one or more radicals, they are, for example, mono-to penta-substituted, for example mono-, di-or tri- substituted, especially mono-or di-substituted.

When Ari is a phenyl radical substituted by-(CO) R7,-(CO) OR3,-(CO) NR4R5,-O (CO) Razz O (CO) OR3,-O (CO) NR4R5,-NRE (CO) R7,-NR6 (CO) OR3,-OR3,-NR4R5,-SRB,-SOR7,-SO2R7 and/or -OSO2R7 and the substituents -(CO)R7, -(CO)OR3, - (CO) NR4R5,-O (CO) R7,- O (CO) OR3,-O (CO) NR4R5,-NRG (CO) R7, -NR6(CO)OR3, -OR3, -NR4R5, -SR6, -SOR7, -SO2R7 and-OSO2R7 form 5-, 6-or 7-membered rings, via the radicals R3, R4, R5, Ra and/or R7, with further substituents on the phenyl ring, or with one of the carbon atoms of the phenyl ring, for example the following structural units are obtained If in Ar1 the substituents Ci-CiBalkyl form alkylen bridges from one carbon atom of the phenyl, biphenylyl, naphthyl, anthracyl, phenanthryl, or heteroaryl ring to another carbon atom of said ring, in particular ethylene, propylene and butylen bridges are formed and for example the following structures are obtained The definition according to the present application in this connection also is intended to cover branched alkylene bridges : . In case said alkylen bridges are condensed with further phenyl rings for example the following structure is given Camphoryl, 10-camphoryl, are camphor-10-yl, namely C2-C18alkanoyl is e. g. C2-Ct2, C2-CB, C2-C6-or C2-C4alkanoyl, wherein the alkyl moiety is linear or branched. Examples are acetyl, propionyl, butanoyl or hexanoyl, especially acetyl.

C1-C18alkoxy is e. g. Ci-Ci2-, Cl-C8-, C1-C6-, C1-C4alkoxy, and is linear or branched.

Examples are methoxy, ethoxy, propoxy, n-butoxy, t-butoxy, octyloxy and dodecyloxy.

In C1-C12alkylthio the alkyl moiety is for example linear or branched. Examples are methylthio, ethylthio, propylthio or butylhtio.

C2-C18alkoxycarbonyl is (C1-C17alkyl)-O-C(O)-, wherein C-Cr7alkyl is linear or branched and is as defined above up to the appropriate number of carbon atoms. Examples are C2-C10-, C2-C8-, C2-C6-or C2-C4alkoxycarbonyl, such as methoxycarbonyl, ethoxycarbonyl, pro- poxycarbonyl, butoxycarbonyl or pentoxycarbonyl.

C1-C10haloalkyl are for example Cl-C8-, C-C6-or Ci-C4-alkyl mono-or poly-substituted by halogen, the alkyl moieties being, for example, as defined above. There are, for example, from one to three or one or two halogen substituents at the alkyl radical. Examples are chloromethyl, trichloromethyl, trifluoromethyl or 2-bromopropyl, especially trifluoromethyl or trichloromethyl. Preferred is C1-C10fluoroalkyl.

C2-C10haloalkanoyl is (C1-C9haloalkyl)-C(O)-, wherein C1-C9haloalkyl is as defined above up to the appropriate number of carbon atoms. Examples are chloroacetyl, trichloroacetyl, tri- fluoroacetyl, pentafluoropropionyl, perfluorooctanoyl, or 2-bromopropionyl, especially trifluo- roacetyl or trichloroacetyl.

Halobenzoyl is benzoyl which is mono-or poly-substituted by halogen andtor C1- C4haloalkyl, C1-C4-haloalkyl being as defined above. Examples are pentafluorobenzoyl, trichlorobenzoyl, trifluoromethylbenzoyl, especially pentafluorobenzoyl.

Halogen is fluorine, chlorine, bromine or iodine, especially chlorine or fluorine, preferably fluorine.

Phenyl-C1-C3alkyl is, for example, benzyl, 2-phenylethyl, 3-phenylpropyl, a-methylbenzyl or a, a-dimethylbenzyl, especially benzyl.

Oxydiphenylene is If R4 and R5 together with the nitrogen atom to which they are bonded form a 5-, 6-or 7- membered ring that optionally is interrupted by-O-,-NR6-or-CO-, for example the following structures are obtained The definitions C1-C18alkylsulfonyl, phenyl-C1-C3alkylsulfonyl, camphorylsulfonyl, C1-C10ha- loalkylsulfonyl refer to the corresponding radicals C1-C18alkyl, phenyl-C1-C3alkyl, camphoryl and C1-C10haloalkyl, as described in detail above, being linked to a sulfonyl group (-S02-).

Accordingly, also phenylsulfonyl, naphthylsulfonyl, anthracylsulfonyl and phenanthryl- sulfonyl refer to the corresponding radicals linked to a sulfonyl group.

Halobenzenesulfonyl is benzenesulfonyl which is mono-or poly-substituted by halogen and/or C1-C4haloalkyl, C1-C4-haloalkyl being as defined above. Examples are pentafluorobenzenesulfonyl, chlorobenzenesulfonyl, trifluoromethylbenzenesulfonyl, especially pentafluorobenzenesulfonyl.

C2-C18alkanoyloxy is (Ci-C17alkyl)-C (O)-O-, wherein C1-C17alkyl is linear or branched and is as defined above up to the appropriate number of carbon atoms. Examples are C2-C, 0-, C2- C8-, C2-Ce-or C2-C4alkanoyloxy, such as acetyloxy, ethanoyloxy, propanoyloxy, butanoyloxy or hexanoyloxy.

C1-C18alkylsulfonyloxy is (C1-C18alkyl)-S(O)2-O, wherein C1-C18alkyl is linear or branched and is as defined above up to the appropriate number of carbon atoms. Examples are Ci- C10-, C1-C8-, C1-C8- or C1-C4alkylsulfonyloxy, such as methanesulfonyloxy, propanesulfonyloaay or hexanesulfonyloxy.

Accordingly, also phenylsulfonylosey and (4-methylphenyl) sulfonyloxy refer to the corresponding radicals linked to a-S (O) 2-O- group.

In the present application, the term"heteroaryl"denotes unsubstituted and substituted radi- cals, for example 3-thienyl, 2-thienyl, , wherein R4 and R5 are as defined above, thianthrenyl, isobenzofuranyl, xanthenyl, phenoxanthiinyl, wherein Y is S, O or NR6 and R6 is as defined above. Examples thereof are pyrazolyl, thiazolyl, oxazolyl, isothiazolyl or isoxazolyl. Also included are, for example, furyl, pyrrolyl, 1,2, 4-triazolyl, or 5-membered ring heterocycles having a fused-on aromatic group, for example benzimidazolyl, benzothienyl, benzofuranyl, benzoxazolyl and benzothiazolyl.

Other examples of"heteroaryls"are pyridyl, especially 3-pyridyl, , wherein R3 is as defined above, pyrimidinyl, pyrazinyl, 1,3, 5-triazinyl, 2,4-, 2, 2- or 2, 3-diazinyl, indoli- zinyl, isoindolyl, indolyl, indazolyl, purinyl, isoquinolyl, quinolyl, phenoxazinyl or phenazinyl.

In this Application, the term"heteroaryl"also denotes the radicals thioxanthyl, xanthyl, IRC N 0 or 1 and R3, R4, are 0 ru z as z -, Each of the heteroaryls 0 s may carry the substituents indicated above or in claim 1. Phenylen is is, for 0 example, is, for example, or is divalent radical of heteroaryl ring described above, for example, Ri Groups having a-0-C-bond or a -O-Si-bond which cleaves upon the action of an acid, and being substituents of the radicals Ar1, Ar', and Ar", are acid cleavable groups which increase the solubility of the compounds of formula I or 11 in the alkaline developer after reaction with an acid. This effect is for example described in US 4883740.

Examples of groups suitable as such substitutents are for example known orthoesters, trityl and benzyl groups, tert.-butyl esters of carboxylic acids, tert.-butyl carbonates of phenols or silyl ethers of phenols, e. g.-OSi (CH3) 3, wherein R'1 and R'2 independently of one another are hydrogen, C1-C5alkyl, C3-C8-cycloalkyl, phenyl-C1-C3-alkyl, or R'1 and R 2 together are C2-C5alkylene, and R'3 is unsubstituted or halogen-substitued C1-C5alkyl, unsubstituted or halogen-substitued C3-C8cycloalkyl, or phenyl-C,-C3-alkyl, or, if R'1 and R'2 together are no C2-C5alkylene, R'3 and R'2 together may be Cz-Ceaikyiene, which may be interrupted by an -O-atom or an-S- atom.

The terms"and/or"or"or/and"in the claims and throughout the specification are meant to express that not only one of the defined alternatives (substituents) may be present, but also several of the defined alternatives (substituents) together, namely mixtures of different alternatives (substituents).

Ther term"optionally subtituted"means unsubstituted or substituted.

The term"at least"is meant to define one or more than one, for example one or two or three, preferably one or two.

Interesting are compounds of the formula I or II, wherein Ri is C1-C10haloalkylsulfonyl ; R2, R3, R4, Rs, R6, R7, Ar1, Ar'1, Ar"1 Ar2, A1, Y1, X, D and D1 are as defined above.

Interesting are further compounds of the formula I or 11 Ri is C1-C10haloalkylsulfonyl, which optionally is substituted by OR3 ; R2 is halogen or C1-C10haloalkyl ; Ar1 is phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl, all of which are optionally substituted by one or more C1-C18alkyl, -Ar2, -OR3, -NR4R5, and/or -SR6, optionally the substituents-OR3,-NR4R5, and/or-SRe, form 5-, 6-or 7-membered rings, via the radicals R3, R4, R5, and/or R6, with further substituents on the phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl ring or with one of the carbon atoms of the phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl ring; or optionally the substituents C1- Cisaikyi form alkylen bridges from one carbon atom of the phenyl, naphthyl, biphenylyl, fluorenyl, or heteroaryl ring to another carbon atom of said ring; said alkylen bridges optionally being condensed with further phenyl rings; fie, is heteroaryiene, which optionally substituted by one or more C1-C18alkyl, halogen, -Ar2,-OR3,-NR4Rs, and/or-SR6 ; or is X is fluorine ; R3 is C1-C18alkyl, C1-C10haloalkyl or phenyl ; R4 and Rs are C1-C18alkyl ; Re is phenyl ; Ar2 is phenyl ; D is -O-; and D, is C1-C18alkylene.

In the compounds of formula 1, R2 preferably is C1-C10haloalkyl.

Oxime derivatives of formulae I and 11 can generally be prepared by methods described in the literature, for example by reacting suitable free oximes (R1 = H) of formula 1"or 11"with the desired (for example, sulfonic) acid halides or acid anhydrides of formula V or VI (for example, R,CI or R1-O-R1).

Ri, R2, X, Ar1 and Art'are defined as described above.

These reactions usually are carried out in an inert solvent such as for example toluene, methylene chloride, tetrahydrofuran (THF) or dimethylformamide (DMF) in the presence of a base, for example pyridine, a tertiary amine, such as triethylamine, or by reaction of the salt of an oxime with the desired acid chloride. These methods are disclosed, for example, in EP 48615. The sodium salts of oximes can be obtained, for example, by reacting the oxime in question with a sodium alcoholate in dimethylformamide. Such reactions are well known to those skilled in the art, and are generally carried out at temperatures in the range of-15 to +50°C, preferably 0 to 20°C.

The oximes required as starting materials can be obtained by a variety of methods described in standard chemistry textbooks (for instance in J. March, Advanced Organic Chemistry, 4th Edition, Wiley Interscience, 1992), or in specialized monographs, for example, S. R. Sandler & W. Karo, Organic functional group preparations, Vol. 3, Academic Press.

One of the most convenient methods is, for example, the reaction of ketones with hydroxy- amine or its salt in polar solvents like ethanol or aqueous ethanol. In that case, a base such as sodium acetate is added to control the pH of the reaction mixture. It is well known that the rate of the reaction is pH-dependent, and the base can be added at the beginning or continuously during the reaction. Basic solvents such as pyridine can also be used as base and/or solvent or solvent. The reaction temperature is generally the refluxing temperature of the mixture, usually about 60-120°C.

Another convenient synthesis of oximes is the nitrosation of"active"methylene groups with nitrous acid or an alkyl nitrite. Both alkaline conditions, as described for example in Organic Syntheses coll. Vol. Vi (J. Wiley & Sons, New York, 1988), pp 199 and 840, and acidic con- ditions, as described, for example, in Organic Synthesis coll. vol V, pp 32 and 373, coll. vol.

III, pp 191 and 513, coll. vol. ll, pp. 202,204 and 363, are suitable for the preparation of the oximes used as starting materials for the compounds according to the invention. Nitrous ac- id is usually generated from sodium nitrite. The alkyl nitrite can for example be methyl nitri- te, ethyl nitrite, isopropyl nitrite, butyl nitrite, isoamyl nitrite.

The described syntheses can result in the formation of isomeric forms of the compounds of formula I and 11. The double bond of the oximino group can exist in both the syn (cis, Z) and the anti (trans, E) form or as mixtures of the two geometrical isomers. In the present invention, both the individual geometrical isomers and any mixtures of two geometrical isomers can be used. The invention accordingly also relates to mixtures of isomeric forms of the compounds of formula I and 11.

The compounds of formula I and 11 of the individual geometrical isomers (Z and E forms) and any mixtures of two geometrical isomers can be used. However, it has been found that the compounds of formula I and 11 of a specific configuration (tentatively assigned as E- form) are more thermally stable than the compounds of other configuration (tentatively assigned as Z-form). Therefore, preferred use of the compounds of the present invention are of formula) and 11 of the single more thermally stable isomer (tentatively assigned as E- form).

The syntheses of the oximes required as starting materials can result in the formation of a mixture of isomeric forms. Surprisingly, it has been found that the mixture of isomeric forms of the oximes required as starting materials is converted to a single isomeric form (tentatively assigned as E-form) by treatment with acid. Using these oximes of the single isomer (E-form) as the starting materials, the compounds of formula I and 11 of the thermally more stable single isomer are obtained. Accordingly the present invention also relates to a process for the synthesis of the thermally more stable isomer of the compounds of formula I and 11 by 1) conversion of the corresponding isomeric mixture of oximes to the oximes of the single isomeric form by treatment with an acid, and 2) reaction of the oximes of the single isomeric form with the desired acid halides or acid anhydride.

Subject of the invention therefore is a process for the specific preparation of the thermally stable isomer of the oxime ester compounds of formula I or 11 by (1) treating the isomeric mixture of the corresponding free oxime compounds of formula I" or 11", obtained by conventional methods, R2, Ar1, Ar'1, Ar"1, A1, Y1 and X are as defined above, with an acid ; and (2) reacting the thus prepared single isomeric free oxime compound with the corresponding acid halides or acid anhydrides of formula V or VI Ric (V), Ri-O-Ri (VI).

The conversion reactions of the isomeric mixture of oximes to the desired single isomer are usually carried out in an inert solvent such as methylene chloride, ethyl acetate, toluene, tetrahydrofuran, dimethylformamide or acetic anhydride in the presence of an acid such as hydrochloric acid, sulfuric acid, acetic acid, nitric acid, trifluoroacetic acid, or trifluorometh- anesulfonic acid. The conversion reactions can also be carried out in acid solvent, e. g., formic acid, acetic acid optionally in the presence of other acid such as hydrochloric acid, sulfuric acid, nitric acid, trifluoroacetic acid, or trifluoromethanesulfonic acid. Such reactions are usually carried out at temperature in the range of-15°C to +120°C, preferably 0°C to 80°C, more preferably 5°C to 0°C. The compounds are isolated by methods known to the person skilled in the art, e. g. distillation, recrystallisation, chromatographic methods.

Examples for conventional methods to obtain the oxime compounds of formula 1'and Il' as startng materials are given above.

Some of the oxime intermediates are novel compounds. The invention accordingly pertains to a compound of the formula I'or 11' R'2 is C,-C, 0haloalkyl ; Ar1, Ar'1, Ar"1, A1, Y1 and X are as defined in claim 1, with the proviso, that if Ar, is phenyl, which is unsubstituted or substituted by methyl, chloro, or nitro, and X is fluorine, then R'2 is not Ci-C2haloalkyl.

The compounds of the formulae I and 11 can be used as photosensitive acid donors in a photoresist. Resist systems can be prepared by image-wise irradiation of systems comprising compounds of formulae I and 11 followed by a developing step.

The invention accordingly relates to a chemically amplified photoresist composition compris- ing (a) a compound which cures upon the action of an acid or a compound whose solubility is increased upon the action of an acid; and (b) as photosensitive acid donor, at least one compound of the formula I or 11.

A chemically amplified photoresist is understood to be a resist composition wherein the radi- ation sensitive component provides a catalytic amount of acid which subsequently catalyses a chemical reaction of at least one acid-sensitive component of the resist. Resulting is the induction of a solubility difference between the irradiated and non-irradiated areas of the re- sist. Because of the catalytic nature of this process one acid molecule can trigger reactions at multiple sites as it diffuses through the reactive polymer matrix, from one reaction site to the next, as long as it is not trapped or destroyed by any secondary reaction. Therefore, a small acid concentration is sufficient to induce a high difference in the solubility between ex- posed and unexposed areas in the resist. Thus, only a small concentration of the latent acid compound is necessary. As a result, resists with high contrast and high transparency at the exposure wavelength in optical imaging can be formulated, which in turn produce steep, vertical image profiles at high photosensitivity. However, as a result of this catalytic process, it is required that the latent acid catalysts are chemically and thermally very stable (as long as not irradiated) in order not to generate acid during resist storage or during processing, which-in most cases-requires a post exposure bake step to start or to complete the catalytic reaction which leads to the solubility differential. It is also required to have good solubility of the latent catalysts in the liquid resist formulation and the solid resist film to avoid any particle generation which would interfere with the application of these resists in microelectronic manufacturing processes.

In contrast, positive resist materials which are not based on the chemical amplification mechanism must contain a high concentration of the latent acid, because it is only the acid concentration which is generated from the latent acid under exposure which contributes to the increased solubility of the exposed areas in alkaline developer. Because small acid concentration has only a little effect on the change of the dissolution rate of such resist and the reaction proceeds typically without a post exposure bake here, the requirements regarding chemical and thermal stability of the latent acid are less demanding than for chemically amplified positive resists. These resists require also a much higher exposure dose to generate enough acid for achieving sufficient solubility in the alkaline developer in the exposed areas and also suffer from the relatively low optical transparency (due to the high concentration of latent acid necessary) and thus also lower resolution and sloped images. Resist compositions based on non-chemically amplified technology are therefore inferior in photosensitivity, resolution and image quality compared to chemically amplified resists.

From the above it becomes clear that chemical and thermal stability of a latent catalyst is vital for a chemically amplified resist and that latent acids which can work in a non-chemical- ly amplified resist are not necessarily applicable to chemically amplified resists because of the different acid diffusion requirements, acid strength requirements and thermal and chemical stability requirements.

The difference in resist solubility between irradiated and non-irradiated sections that occurs as a result of the acid-catalysed reaction of the resist material during or after irradiation of the resist may be of two types depending upon which further constituents are present in the resist. If the compositions according to the invention comprise components that increase the solubility of the composition in the developer after irradiation, the resist is positive.

The invention accordingly relates to a chemically amplified positive photoresist.

If, on the other hand, the components of the formulation reduce the solubility of the compo- sition after irradiation, the resist is negative.

The invention accordingly relates also to a chemically amplified negative photoresist.

A monomeric or polymeric compound which-in the unexposed areas-reduces the disso- lution rate of an additionally present alkaline soluble binder resin in the resist formulation and which is essentially alkali-insoluble in the unexposed areas so that the resist film remains in the unexposed area after development in alkaline solution, but which is cleaved in the presence of acid, or is capable of being rearranged, in such a manner that its reaction product becomes soluble in the alkaline developer is referred to hereinafter as dissolution inhibitor.

The invention includes, as a special embodiment a chemically amplified positive alkaline- developable photoresist composition, comprising (a1) at least one polymer having acid-labile groups which decompose in the presence of an acid and increase the solubility of the resist film in an aqueous alkaline developer solution in the exposed area and (b) at least one compound of formula I or 11.

A further embodiment of the invention is a chemically amplified positive alkaline- developable photoresist composition, comprising (a2) at least one monomeric or oligomeric dissolution inhibitor having at least one acid- labile group which decomposes in the presence of acid and increases the solubility in an aqueous alkaline developer solution and at least one alkali-soluble polymer and, (b) at least one compound of formula I or 11.

Another specific embodiment of the invention resides in a chemically amplified positive alkaline-developable photoresist composition, comprising (a1) at least one polymer having acid labile groups which decompose in the presence of an acid and increase the solubility in an alkaline developer in the exposed area; (a2) a monomeric or oligomeric dissolution inhibitor, having at least one acid labile group, which decomposes in the presence of an acid and increase the alkaline solubility in the exposed area; (a3) an alkali-soluble monomeric, oligomeric or polymeric compound at a concentration which still keeps the resist film in the unexposed area essentially insoluble in the alkaline developer, and (b) at least one compound of formula I or 11.

The invention therefore pertains to a chemically amplified photoresist composition, compris- ing (a1) at least one polymer having an acid-labile group which decomposes in the presence of an acid to increase the solubility in aqueous alkaline developer solution and/or (a2) at least one monomeric or oligomeric dissolution inhibtor having an acid-labile group which decomposes in the presence of an acid to increase the solubility in aqueous alkaline developer solution and/or (a3) at least one alkali-soluble monomeric, oligomeric or polymeric compound; and (b) as photosensitive acid donor, at least one compound of formula I or I I.

The compositions may comprise additionally to the component (b) other photosensitive acid donors and/or (c) other additives.

Such chemically amplified positive resist systems are described, for example, in E. Reich- manis, F. M. Houlihan, 0. Nalamasu, T. X. Neenan, Chem. Mater. 1991,3, 394 ; or in C. G.

Willson,"Introduction to Microlithography, 2nd. Ed.; L. S. Thompson, C. G. Willson, M. J.

Bowden, Eds., Amer. Chem. Soc., Washington DC, 1994, p. 139.

Suitable examples of acid-labile groups which decompose in the presence of an acid to pro- duce aromatic hydroxy groups, carboxylic groups, keto groups and aldehyde groups and increase the solubility in aqueous alkaline developer solution are, for example, alkoxyalkyl ether groups, tetrahydrofuranyl ether groups, tetrahydropyranyl ether groups, tert.-alkyl ester groups, trityl ether groups, silyl ether groups, alkyl carbonate groups as for example tert.-butyloxycarbonyloxy-, trityl ester groups, silyl ester groups, alkoxymethyl ester groups, cumyl ester groups, acetal groups, ketal groups, tetrahydropyranyl ester groups, tetrafuranyl ester groups, tertiary alkyl ether groups, tertiary alkyl ester groups, and the like. Examples of such group include alkyl esters such as methyl ester and tert-butyl ester, acetal type esters such as methoxymethyl ester, ethoxymethyl enter, 1-ethoxyethyl ester, 1- isobutoxyethyl ester, 1-isopropoxyethyl ester, 1-ethoxypropyl ester, 1- (2-methoxyethoxy) ethyl ester, 1- (2-acetoxyethoxy) ethyl ester, 1- [2- (1-adamantyloxy) ethoxy] ethyl ester, 1- [2- (1-adamantylcarbonyloxy) ethoxy] ethyl ester, tetrahydro-2-furyl ester and tetrahydro-2- pyranyl ester, and alicyclic ester such as isobornyl ester.

The polymer having functional groups capable of decomposing by the action of an acid to enhance solubility of the resist film comprising this polymer in an alkaline developing soluti- on, which can be incorporated in the positive resist according to the present invention, may have the acid-labile groups in the backbone and/or side chains thereof, preferably in side chains thereof.

The polymer having acid-labile groups suitable for the use in the present invention can be obtained with a polymer analogous reaction where the alkaline soluble groups are partially or completely converted into the respective acid labile groups or directly by (co)- polymerization of monomers which have the acid labile groups already attached, as is for instance disclosed in EP 254853, EP 878738, EP 877293, JP-A-2-25850, JP-A-3-223860, and JP-A-4-251259.

The polymers which have acid labile groups pendant to the polymer backbone, in the pre- sent invention preferably are polymers which have, for example silylether, acetal, ketal and aikoxyaikyiester groups (called"low-activation energy blocking groups") which cleave com- pletely at relatively low post exposure bake temperatures (typically between room tempe- rature and 110°C) and polymers which have, for example, tert-butylester groups or tert.- butyloxycarbonyl (TBOC) groups or other ester groups which contain a secondary or tertiary carbon atom next to the oxygen atom of the ester bond (called"high-activation energy blocking groups") which need higher bake temperatures (typically > 110°C) in order to complete the deblocking reaction in the presence of acid. Hybrid systems can also be applied, wherein, both, high activation energy blocking groups as well as low activation energy blocking groups are present within one polymer. Alternatively, polymer blends of polymers, each utilizing a different blocking group chemistry, can be used in the photosensitive positive resist compositions according to the invention.

Preferred polymers which have acid labile groups are polymers and co-polymers comprising the following distinct monomer types: 1) monomers that contain acid-labile groups which decompose in the presence of an acid to increase the solubility in aqueous alkaline developer solution and 2) monomers that are free of acid labile groups and free of groups that contribute to the alkaline solubility and/or 3) monomers that contribute to aqueous alkaline solubility of the polymer.

Examples of monomers of type 1) are: non-cyclic or cyclic secondary and tertiary-alkyl (meth) acrylates such as butyl acrylate, inclu- ding t-butyl acrylate, butyl methacrylate, including t-butyl methacrylate, 3-oxocyclohexyl (meth) acrylate, tetrahydropyranyl (meth) acrylate, 2-methyl-adamantyl (meth) acrylate, cyclohexyl (meth) acrylate, norbornyl (meth) acrylate, (2-tetrahydropyranyl) oxynorbonyl- <BR> <BR> <BR> alcohol acrylates, (2-tetrahydropyranyl) oxymethyltricyclododecanemethanol methacrylates, trimethylsilylmethyl (meth) acrylate, (2-tetrahydropyranyl) oxynorbonylalcohol acrylates, (2- tetrahydropyranyl) oxymethyltricyclododecanemethanol methacrylates, trimethylsilylmethyl (meth) acrylate o-/m-/p- (3-oxocyclohexyloxy)styrene, o-/m-/p- (1-methyl-1- phenylethoxy) styrene, o-/m-p- tetrahydropyranyloxystyrene, o-/m-/p- ada mantyloxystyrene, o-/m-/p-cyclohexyloxystyrene, o-/m-/p-norbornyloxystyrene, non-cyclic or cyclic alkoxycarbonylstyrenes such as o-lm-/p-butoxycarbonylstyrene, including p-t- butoxycarbonylstyrene, o-/m-/p- (3-oxocyclohexyloxycarbonyl) styrene, o-/m-/p- (1-methy !-1- phenylethoxycarbonyl) styrene, o-/m-/p-tetrahydropyranyloxycarbonylstyrene, o-/m-/p-ada- mantyloxycarbonylstyrene, o-/m-/p- cyclohexyloxycarbonylstyrer, o-/m-/-p- norbornyloxycarbonylstyrene, non-cyclic or cyclic alkoxycarbonyloxystyrenes such as o-/m- <BR> <BR> <BR> /p-butoxyearbonyloxystyrene, including p-t-butoxycarbonyloxystyrene,, o-lm-/p- (3- oxocyclohexyloxycarbonyloxy) styrene, o-/m-/p- (1-methyl-1-phenylethoxycarbonyloxy) styr- ene, o-/m-/p-tetr ahydropyranyloxycarbonyloxystyrene, o-/m-/p-ada mantyloxycarbonyloxy- styrene, o-lm-lp-cyclohexyloxywarbonyloxystyrene, o-lm-lp-norbornyloxycarbonyloxystyre- ne, non-cyclic or cyclic alkoxycarbonylalkoxystyrenes such aso/m/p-butoxycarbonylmeth- oxystyrene, p-t-butoxycarbonylmethoxystyrene, o-/m-/p- (3-oxocyclohexyloxycarbonylmeth- oxy) styrene, o-/m-/p- (1-methyl-1-phenylethoxycarbonylmethoxy) styrene, o-/m-/p-tetra hy- dropyranyloxycarbonylmethoxystyrene, o-/m-/p-ada mantyloxycarbonylmethoxystyrene, o- <BR> <BR> <BR> lm-lp-cyclohexyloxycarbonylmethoxystyrene, o-/m-/p- norbornyloxycarbonylmethoxystyrene, trimethylsiloxystyrene, dimethyl (butyl) siloxystyrene, unsaturated alkyl acetates such as isopropenyl acetate and the derivatives of thereof.

Monomers of type 1) bearing low activation energy acid labile groups include, for example, p-or m- (1-methoxy-1-methylethoxy)-styrene, p-or m- (1-methoxy-1-methylethoxy)- methyl- styrene, p-or m-(1-methoxy-1-methylpropoxy) styrene, p-or m- (1-methoxy-1-methylpropoxy) methylstyrene, p-or m- (1-methoxyethoxy)-styrene, p-or m-(1-methoxyethoxy)- methylstyr- ene, p-or m- (1-ethoxy-1-methylethoxy) styrene, p-or m- (1-ethoxy-1-methylethoxy)- methyl- styrene, p-or m- (1-ethoxy-1-methylpropoxy) styrene, p-or m- (1-ethoxy-1-methylpropoxy)- methylstyrene, p-or m- (1-ethoxyethoxy) styrene, p-or m-(1-ethoxyethoxy)- methylstyrene, p- (1-ethoxyphenyl-ethoxy) styrene, p-or m- (1-n-propoxy-1-metylethoxy) styrene, p-or m- (1- n-propoxy-1-metylethoxy)-methylstyrene, p-or m- (1-n-propoxyethoxy) styrene, p-or m- (1-n- propoxyethoxy)-methylstyrene, p-or m- (1-isopropoxy-1-methylethoxy) styrene, p-or m- (1- isopropoxy-1-methylethoxy)-methylstyrene, p-or m- (1-isopropoxyethoxy) styrene, p-or m- (1-isopropoxyethoxy)-methylstyrene, p-or m- (1-isopropoxy-1-methylpropoxy) styrene, p-or m- (I-isopropoxy-l-methylporpoxy)-methylstyrene, p-or m- (1-isopropoxypropoxy) styrene, p- or m- (1-isopropoxyporpoxy)-methylstyrene, p-or m- (1-n-butoxy-1-methylethoxy) styrene, p- or m- (1-n-butoxyethoxy) styrene, p-or m- (1-isobutoxy-1-methylethoxy) styrene, p-or m- (1- tert-butoxy-1-methylethoxy) styrene, p-or m- (1-n-pentoxy-1-methylethoxy) styrene, p-or m- (1-isoamyloxy-1-methylethoxy) styrene, p-or m- (1-n-hexyloxy-1-methylethoxy) styrene, p-or m- (1-cyclohexyloxy-1-methylethoxy) styrene, p-or m- (1-trimethylsilyloxy-1- methylethoxy) styrene, p-or m- (1-trimethylsilyloxy-1-methylethoxy)-methylstyrene, p-or m- (1-benzyloxy-1-methylethoxy) styrene, p-or m- (1-benzyloxy-1-methylethoxy)-methylstyrene, p-or m-(1-methoxy-1-methylethoxy) styrene, p-or m- (1-methoxy-1-methylethoxy)- methylstyrene, p-or m- (1-trimethylsilyloxy-1-methylethoxy) styrene p-or m- (1- trimethylsilyloxy-1-methylethoxy)-methylstyrene. Other examples of polymers having alkoxyalkylester acid labile groups are given in US 5225316 and EP 829766. Examples of polymers with acetal blocking groups are given in US 5670299, EP 780732, US 5627006, US 5558976, US 5558971, US 5468589, EP 704762, EP 762206, EP 342498, EP 553737 and described in ACS Symp. Ser. 614, Microelectronics Technology, pp. 35-55 (1995) and J. Photopolymer Sci. Technol. Vol. 10, No. 4 (1997), pp. 571-578. The polymer used in the present invention is not limited thereto.

With respect to polymers having acetal groups as acid-labile groups, it is possible to incor- porate acid labile crosslinks as for example described in H. -T. Schacht, P. Falcigno, N.

Muenzel, R. Schulz, and A. Medina, ACS Symp. Ser. 706 (Micro-and Nanopatterning Poly- mers), p. 78-94,1997 ; H. -T. Schacht, N. Muenzel, P. Falcigno, H. Holzwarth, and J. Schnei- der, J. Photopolymer Science and Technology, Vol. 9, (1996), 573-586. This crosslinked system is preferred from the standpoint of heat resistance of the resist patterns.

Monomers with high activation energy acid labile groups are, for example, p-tert.-butoxycar- <BR> <BR> <BR> bonyloxystyrene, tert.-butyl-acrylate, tert.-butyl-methacrylate, 2-methyl-2-adamantyl-methac- <BR> <BR> <BR> <BR> rylate, isobornyl-methacrylate.

Monomers of type 1) suitable for ArF resist technology in particular include, for example, 2- methyl-2-adamantyl acrylate, 2-ethyl-2-adamantyl acrylate, 2-n-butyl-2-adamantyl acrylate, 2-n-butyl-2-adamantyl methacrylate, 2-methyl-2-adamantyl methacrylate and 2-ethyl-2- adamantyl methacrylate. Other monomers comprising acid-labile adamantyl moieties are disclosed in JP-A-2002-1265530, JP-A-2002-338627, JP-A-2002-169290, JP-A-2002- 241442, JP-A-2002-145954, JP-A-2002-275215, JP-A-2002-156750, JP-A-2002-268222, JP-A-2002-169292, JP-A-2002-162745, JP-A-2002-301161, W002/06901A2, JP-A-2002- 311590, JP-A-2002-182393, JP-A-2002-371114, JP-A-2002-162745.

Particular olefins with acid labile-group are also suitable for ArF resist technology as shown in, for example, JP-A-2002-308938, JP-A-2002-308869, JP-A-2002-206009, JP-A-2002- 179624, JP-A-2002-161116.

Examples of comonomers according to type 2) are: aromatic vinyl monomers, such as styrene, a-methylstyrene, acetoxystyrene, a-methylnaph- thylene, acenaphthylene, vinyl alicyclic compounds such as vinyl norbornane, vinyl adaman- tane. vinyl cyclohexane, allzyl (meth) acrylates such as methyl methacrylate, (meth) acrylonitrile, vinylcyclohexane, vinylcyclohexanol, itaconic anhydride, as well as maleic anhydride.

Comonomers according to type 2) suitable for ArF resist technology in particular include, for <BR> <BR> <BR> example, alpha-acryloyloxy-gamma-butyrolactone, alpha-methacryloylo y-gamma-butyro- lactone, alpha-acryloyloxy-beta, beta-dimethyl-gamma-butyro-lactone, alpha-methacryloyl- oxy-beta, beta-dimethyl-gamma-butyrolactone, alpha-acryloyloxy-alpha-methyl-gamma-buty- <BR> <BR> <BR> rolactone, alpha-methacryloyloxy-alpha-methyl-gamma-butyrolactone, beta-acryloyloxy- gamma, beta-methacryloyloxy-alpha-methyl-gamma-butyrolactone, 5-acryloyloxy-2, 6-nor- bornanecarbolactone, 5-methacryloyloxy-2, 6-norbolnanecarbolactone, 2-norbornene, methyl 5-norbornene-2-carboxylate, tert-butyl 5-norbornene-2-carboxylate, 1-cycrohexyl-1- methylethyl 5-norbornene-2-carboxylate, 1- (4-methylcyclohexyl)-1-methylethyl 5- norbornene-2-carboxylate, 1-methyl-1- (4-oxocyclohexyl) ethyl 5-norbornene-2-carboxylate, 1- (1-adamatyl)-1-methylethyl 5-norbornene-2-carboxylate, 1-methylcyclohexyl 5-norbornene- 2-carboxylate, 2-methyl-2-adamantyl 5-norbornene-2-carboxylate, 2-ethy-2-adamantyl 5- norbornene-2-carboxylate, 5-norbornene-2, 3-dicarboxylic acid anhydrate, 2 (5H)-furanone.

3-vinyl-gamma-butyrolactone.

Examples of comonomers according to type 3) are: vinyl aromatic compounds such as hydroxystyrene, acrylic acid compounds such as meth- acrylic acid, ethylcarbonyloxystyrene and derivatives of thereof. These polymers are described, for example, in US 5827634, US 5625020, US 5492793, US 5372912, EP 660187, US 5679495, EP 813113 and EP 831369. Further examples are crotonic acid, isocrotonic acid, 3-butenoic acid, acrylic acid, 4-pentenoic acid, propiolic acid, 2-butynoic acid, maleic acid, fumaric acid, and acetylenecarboxylic acid. The polymer used in the pre- sent invention is not limited thereto.

Comonomers according to type 3) suitable for ArF resist technology in particular include, for example, 3-hydroxy-l-adamantyl acrylate, 3-hydroxy-l-adamantyl methacrylate, 3,5-di- hydroxy-1-adamantyl acrylate, 3, 5-dihydroxy-1-adamantyl methacrylate, 2-hydroxy-5-nor- bornene, 5-norbomene-2-carboxylic acid, 1-(4-hydroxycyclohexy1)-1-methylethyl 5-nor- bornene-2-carboxylate, 2-hydroxy-1-ethyl 5-norbornene-2-carboxylate, 5-norbornene-2- methanol.

Other monomers comprising lactone moieties suitable for ArF technology are disclosed in, for example, JP-A-2002-6502, JP-A-2002-145955, EP1127870A1, JP-A-2002-357905, JP- A-2002-296783. Other olefins suitable for ArF technology are published in, for example, JP- A-2002-351078, JP-A-2002-234918, JP-A-2002-251009, EP1127870A1, JP-A-2002- 328475, JP-A-2002-278069, JP-A-2003-43689, JP-A-2002-202604, WO01/86353, JP-A- 2002-23371, JP-A-2002-72484, JP-A-2002-202604, JP-A-2001-330959, JP-A-2002-3537, JP-A-2002-30114, JP-A-2002-278071, JP-A-2002-251011, JP-A-2003-122010, JP-A-2002- 139837, JP-A-2003-195504, JP-A-2001-264984, JP-A-2002-278069, JP-A-2002-328475, US6379861, US6599677, US2002/119391, US6277538, US2003/78354.

The content of acid labile monomers in the polymer may vary over a wide range and de- pends on the amount of the other comonomers and the alkaline solubility of the deprotected polymer. Typically, the content of monomers with acid labile groups in the polymer is bet- ween 5 and 60 mol%. If the content is too small, too low development rates and residues of the resist in the exposed areas result. If the content of acid labile monomers is too high, re- sist patterns are poorly defined (eroded) after development and narrow features cannot be resolved anymore and/or the resist looses its adhesion to the substrate during development.

Preferably the copolymers which have acid labile groups have a Mw of from about 3'000 to about 200'000, more preferably from about 5'000 to about 50'000 with a molecular weight distribution of about 3 or less, more preferably a molecular weight distribution of about 2 or less. Non-phenolic polymers, e. g. a copolymer of an alkyl acrylate such as t-butyl acrylate or t-butyl-methacrylate and a vinyl alicyclic compound, such as a vinyl norbonanyl or vinyl cyclohexanol compound, also may be prepared by such free radical polymerization or other known procedures and suitably will have a Mw of from about 8'000 to about 50'000, and a molecular weight distribution of about 3 or less.

Other comonomers may suitably be added in an appropriate amount for the purpose of con- trolling the glass transition point of the polymer and the like.

In the present invention a mixture of two or more polymers having acid-labile groups may be used. For example, use may be made of a mixture of a polymer having acid-labile groups, which are cleaved very easily, such as acetal groups or tetrahydropyranyloxy-groups and a polymer having acid-cleavable groups, that are less easily cleaved, such as for example ter- tiary alkyl ester groups. Also, acid cleavable groups of different size can be combined by blending two or more polymers having different acid cleavable groups, such as a tert-butyl- ester group and 2-methyl-adamantyl group or an 1-ethoxy-ethoxy group and a tetrahydropy- ranyloxy group. A mixture of a non-crosslinked resin and a crosslinked resin may also be used. The amount of these polymers in the present invention is preferably from 30 to 99% by weight, more preferably from 50 to 98% by weight, based on the total amount of all solid components. An alkali-soluble resin or monomeric or oligomeric compound having no acid- labile groups may be further incorporated into the composition in order to'Control the alkali solubility.

Examples of polymer blends with polymers having different acid-labile groups are given in EP 780732, EP 679951 and US 5817444.

Preferably monomeric and oligomeric dissolution inhibitors (a2) are used in the present invention.

The monomeric or oligomeric dissolution inhibitor having the acid-labile group for use in the present invention is a compound which has at least one acid-labile group in the molecular structure, which decomposes in the presence of acid to increase the solubility in aqueous alkaline developer solution. Examples are alkoxymethyl ether groups, tetrahydrofuranyl ether groups, tetrahydropyranyl ether groups, alkoxyethyl ether groups, trityl ether groups, silyl ether groups, alkyl carbonate groups, trityl ester groups, silyl ester groups, alkoxymethyl ester groups, vinyl carbamate groups, tertiary alkyl carbamate groups, trityl amino groups, cumyl ester groups, acetal groups, ketal groups, tetrahydropyranyl ester groups, tetrafuranyl ester groups, tertiary alkyl ether groups, tertiary alkyl ester groups, and the like. The mole- cular weight of the acid-decomposable dissolution inhibitive compound for use in the present invention is 3'000 or lower, preferably from 100 to 3'000, more preferably from 200 to 2'500.

Examples of monomeric and oligomeric dissolution inhibitors having acid-labile groups are described as formulae (I) to (XVI) in EP 0831369. Other suitable dissolution inhibitors hav- ing acid-labile groups are shown in US 5356752, US 5037721, US 5015554, JP-A-1- 289946, JP-A-1-289947, JP-A-2-2560, JP-A-3-128959, JP-A-3-158855, JP-A-3-179353, JP- A-3-191351, JP-A-3-200251, JP-A-3-200252, JP-A-3-200253, JP-A-3-200254, JP-A-3- 200255, JP-A-3-25914. 9, JA-3-279958, JP-A-3-279959, JP-A-4-1650, JP-A-4-1651, JP-A- 11260, JP-A-4-12356, JP-A-4-123567, JP-A-1-289946, JP-A-3-128959, JP-A-3-158855, JP- A-3-179353, JP-A-3-191351, JP-A-3-200251, JP-A-3-200252, JP-A-3-200253, JP-A-3- 200254, JP-A-3-200255, JP-A-3-259149, JP-A-3-279958, JP-A-3-279959, JP-A-4-1650, JP- A-4-1651, JP-A-11260, JP-A-4-12356, JP-A-4-12357 and Japanese Patent Applications Nos. 3-33229,3-230790, 3-320438,4-254157, 4-52732, 4-103215, 4-104542, 4-107885, 4- 107889, 4-152195, 4-254157,4-103215, 4-104542, 4-107885, 4-107889, and 4-152195.

The composition can also contain polymeric dissolution inhibitors, for example, polyacetals as described for example in US 5354643 or poly-N, O-acetals for example those described in US 5498506, either in combination with an alkaline soluble polymer, or in combination with a polymer containing acid labile groups which increase the solubility of the resist film in the developer after exposure, or with a combination of both types of polymers.

In the case where the dissolution inhibitor having acid-labile groups is used in the present invention in combination with the sulfonate derivatives of formula I or 11, the alkali-soluble polymer and/or the polymer having acid-labile groups, the amount of the dissolution inhibitor is from 3 to 55% by weight, preferably from 5 to 45% by weight, most preferably from 10 to 35% by weight, based on the total amount of all solid components of the photosensitive composition.

A polymer soluble in an aqueous alkali solution (a3) is preferably used in the present inven- tion. Examples of these polymers include novolak resins, hydrogenated novolak resins, acetone-pyrogallol resins, poly (o-hydroxystyrene), poly (m-hydroxystyrene), poly (p-hydroxy- styrene), hydrogenated poly (hydroxystyrene) s, halogen-or alkyl-substituted poly (hydroxystyrene) s, hydroxystyrene/N-substituted maleimide copolymers, o/p-and m/p- hydroxystyrene copolymers, partially o-alkylated poly (hydroxystyrene) s, [e. g., o-methylated, o- (1-methoxy) ethylated, o- (1-ethoxy) ethylated, o-2-tetrahydropyranylated, and o- (t- butoxycarbonyl) methylated poly (hydroxystyrene) s having a degree of substitution of from 5 to 30 mol% of the hydroxyl groups], o-acylated poly (hydroxystyrene) s [e. g., o-acetylated and o- (t-butoxy) carbonylated poly (hydroxystyrene) s having a degree of substitution of from 5 to 30mol% of the hydroxyl groups], styrene/maleic anhydride copolymers, <BR> <BR> <BR> styrene/hydroxystyrene copolymers, a-methylstyrene/hydroxystyrene copolymers, carboxylated methacrylic resins, and derivatives thereof. Further suitable are poly (meth) acrylic acid [e. g. poly (acrylic acid)], (meth) acrylic acid/(meth) acrylate copolymers [e. g. acrylic acid/methyl acrylate copolymers, methacrylic acid/methyl methacrylate copolymers or methacrylic acid/methyl methacrylate/t-butyl methacrylate copolymers], (meth) acrylic acid/alkene copolymers [e. g. acrylic acid/ethylene copolymers], (meth) acrylic acid/ (meth)- acrylamide copolymers [e. g. acrylic acid/acrylamide copolymers], (meth) acrylic acid/vinyl chloride copolymers [e. g. acrylic acid/vinyl chloride copolymers], (meth) acrylic acid/vinyl acetate copolymer [e. g. acrylic acid/vinyl acetate copolymers], maleic acid/vinyl ether copo- lymers [e. g. maleic acid/methyl vinyl ether copolymers], maleic acid mono ester/methyl vinyl ester copolymers [e. g. maleic acid mono methyl ester/methyl vinyl ether copolymers], maleic acid/ (meth) acrylic acid copolymers [e. g. maleic acidlacrylic acid copolymers or maleic acid/- methacrylic acid copolymers], maleic acid/(meth) acrylate copolymers [e. g. maleic acid/meth- yl acrylate copolymers], maleic acid/vinyl chloride copolymers, maleic acid/vinyl acetate copolymers and maleic acid/alkene copolymers [e. g. maleic acid/ethylene copolymers and maleic acid/1-chloropropene copolymers]. However, the alkali-soluble polymer for use in the present invention should not be construed as being limited to these examples.

Especially preferred alkali-soluble polymers (a3) are novolak resins, poly (o-hydroxystyrene), poly (m-hydroxystyrene), poly (p-hydroxystyrene), copolymers of the respective hydroxystyre- ne monomers, for example with p-vinylcyclohexanol, alkyl-substituted poly (hydroxystyrene) s, partially o-or m-alkylated and o-or m-acylated poly (hydroxystyrene) s, styrene/hydroxystyrene copolymer, and a- methylstyrene/hydroxystyrene copolymers. The novolak resins are obtained by addition- condensing one or more given monomers as the main ingredient with one or more aldehy- des in the presence of an acid catalyst.

Examples of monomers useful in preparing alkaline soluble resins include hydroxylated aro- matic compounds such as phenol, cresols, i. e., m-cresol, p-cresol, and o-cresol, xylenols, e. g. , 2, 5-xylenol, 3, 5-xylenol, 3, 4-xylenol, and 2, 3-xylenol, alkoxyphenols, e. g. , p-methoxy- phenol, m-methoxyphenol, 3, 5-dimethoxyphenol, 2-methoxy-4-methylphenol, m-ethoxyphe- nol, p-ethoxyphenol, m-propoxyphenol, p-propoxyphenol, m-butoxyphenol, and p-butoxy- phenol, dialkylphenols, e. g., 2-methyl-4-isopropylphenol, and other hydroxylated aromatics including m-chlorophenol, p-chlorophenol, o-chlorophenol, dihydroxybiphenyl, bisphenol A, phenylphenol, resorcinol, and naphthol. These compounds may be used alone or as a mixture of two or more thereof. The main monomers for novolak resins should not be construed as being limited to the above examples.

Examples of the aldehydes for polycondensation with phenolic compounds to obtain novol- aks include formaldehyde, p-formaldehyde, acetaldehyde, propionaldehyde, benzaldehyde, phenylacetaldehyde, a-phenylpropionaldehyde, ß-phenylpropionaldehyde, o-hydroxybenz- aldehyde, m-hydroxybenzaldehyde, p-hydroxybenzaldehyde, o-chlorobenzaldehyde, m- chlorobenzaldehyde, p-chlorobenzaldehyde, o-nitrobenzaldehyde, m-nitrobenzaldehyde, o- methylbenzaldehyde, m-methylbenzaldehyde, p-methylbenzaldehyde, p- ethylbenzaldehyde, p-n-butylbenzaldehyde, furfural, chloroacetaldehyde, and acetals derived from these, such as chloroacetaldehyde diethyl acetal. Preferred of these is formaldehyde.

These aldehydes may be used alone or in combination of two or more thereof. Examples of the acid catalyst include hydrochloric acid, sulfuric acid, formic acid, acetic acid, and oxalic acid.

The weight-average molecular weight of the thus-obtained novolak resin suitably is from 1'000 to 30'000. If the weight-average molecular weight thereof is lower than 1'000, the film reduction at unexposed parts during development is liable to be large. If the weight- average molecular weight there of exceeds 50'000, the developing rate may be too low.

The especially preferred range of the molecular weight of the novolak resin is from 2'000 to 20'000.

The poly (hydroxystyrene) s and derivatives and copolymers thereof shown above as alkali- soluble polymers other than novolak resins each have a weight-average molecular weight of 2'000 or higher, preferably from 4'000 to 200'000, more preferably from 5'000 to 50'000.

From the standpoint of obtaining a polymer film having improved heat resistance, the weight-average molecular weight thereof is desirably at least 5'000 or higher.

Weight-average molecular weight in the context of the present invention is meant to be the one determined by gel permeation chromatography and calibrated for with polystyrene stan- dard.

In the present invention the alkali-soluble polymers may be used as a mixture of two or more thereof. In the case where a mixture of an alkali-soluble polymer and the polymer having groups which decompose by the action of an acid to enhance solubility in an alkaline developing solution is used, the addition amount of the alkali-soluble polymer is preferably up to 80% by weight, more preferably up to 60% by weight, most preferably up to 40% by weight, based on the total amount of the photosensitive composition (excluding the solvent).

The amount exceeding 80% by weight is undesirable because the resist pattern suffers a considerable decrease in thickness, resulting in poor images and low resolution.

In the case where an alkali-soluble polymer is used together with a dissolution inhibitor, without the polymer having groups which decompose by the action of an acid, to enhance solubility in an alkaline developing solution, the amount of the alkali-soluble polymer is preferably from 40% to 90% by weight, more preferably from 50 to 85% by weight, most preferably 60 to 80% by weight. If the amount thereof is smaller than 40% by weight, undesirable results such as reduced sensitivity are caused. On the other hand, if it exceeds 90% by weight, the resist pattern suffers a considerable decrease in film thickness, resulting in poor resolution and image reproduction.

The content of the sulfonate derivatives of formula I or 11 (component (b) ) in the positive res- ist according to the present invention is preferably between 0. 01 % to 20% by weight, based on the total amount of all solid components in the photoresist.

The use of the sulfonate derivatives according to the invention in chemically amplified systems, which operates on the principle of the removal of a protecting group from a polymer, generally produces a positive resist. Positive resists are preferred over negative resists in many applications, especially because of their higher resolution. There is, however, also interest in producing a negative image using the positive resist mechanism, in order to combine the advantages of the high degree of resolution of the positive resist with the properties of the negative resist. This can be achieved by introducing a so-called image-reversal step as described, for example, in EP 361906. For this purpose, the image- wise irradiated resist material is before the developing step treated with, for example, a gaseous base, thereby image-wise neutralizing the acid which has been produced. Then, a second irradiation, over the whole area, and thermal aftertreatment are carried out and the negative image is then developed in the customary manner.

The compounds of the formula I and 11 according to the present invention are in particular suitable as photolatent acids in the ArF resist technology, i. e. a technology using ArF excimer lasers (193 nm) for the imaging step. This technology requests the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copo- lymers are for example published in Proceeding of SPIE 2438, 474 (1995); Proceeding of SPIE 3049,44 (1997); Proceeding of SPIE 3333,144 (1998); J. Photopolym. Sci. Technol. 14, 631 (2001); Proceeding of SPIE 3333,546 (1998); J. Photopolym. Sci. Technol. 13, 601 (2000); JP2001-242627A ; JP2001- 290274A; JP2001-235863A; JP2001-228612A ; Proceeding of SPIE 3333,144 (1998); JP2001-5184A, commercially available as Lithomax alpha-7K from Mitsubishi Rayon; JP2001-272783A; US Patent Application No. 09/413763 (filed 1999.10. 7); EP 1091249; JP2000-292917A; JP2003-241385A ; 1. Photooolym. Sci. Teclmol. 14,631 (2001); Proceeding of SPIE 3333, 11 (1998) ; ACS 1998 (University of Texas) ; JP2001-290274A ; JP2001-235863A ; JP2001-228612A ; Proceeding of SPIE 3999,13 (2000); JP2001- 296663A; US Patent Application No. 09/567814 (filed 2000.5. 9); EP 1128213 ; Proceeding of SPIE 3049,104 (1997); J. Photopolym. Sci. Technol. 10, 521 (1997); JP2001-290274A; JP2001-235863A; JP2001-228612A; Proceeding of SPIE 4345,680 (2001) ; J. Vac. Sci.

Technol. B 16 (6), p. 3716,1998 ; Proceeding of SPIE 2724, 356 (1996); P~ceeding of SPIE 4345,67 (2001); Proceeding of SPIE 3333,546 (1998); Proceeding of SPIE 4345,87 (2001); Proceeding of SPIE 4345,159 (2001); Proceeding of SPIE 3049,92 (1997); Proceeding of SPIE 3049,92 (1997); Proceeding of SPIE 3049,92 (1997); Proceeding of SPIE 3999,2 (2000); Proceeding of SPIE 3999,23 (2000); Proceeding of SPIE 3999,54 (2000); Proceeding of SPIE 4345, 119 (2001); The formulations disclosed in the aforementioned publications are incorporated herein by reference. It is understood, that the compounds of the present invention are in particular suitable for use as photolatent acid in all the polymers/copolymers and compositions described in these cited publications.

The compounds of the formula I and 11 according to the present invention are suitable as photolatent acids in the bi-layer resist. This technology requests the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copoly- mers are for example published in Proc. SPIE 4345, 361-370 (2001), Proc. SPIE 4345,406- 416 (2001), JP-A-2002-278073, JP-A-2002-30116, JP-A-2002-30118, JP-A-2002-72477, JP-A-2002-348332, JP-A-2003-207896, JP-A-2002-82437, US2003/65101, US2003/64321.

The compounds of the formula I and 11 according to the present invention are suitable as photolatent acids in the multi-layer resist. This technology requests the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copoly- mers are for example published in JP-A-2003-177540, JP-A-2003-280207, JP-A-2003- 149822, JP-A-2003-177544.

In order to make fine hole pattern, thermal flow process or chemical shrink technology, so- called RELACS (resolution enhacement lithography assisted by chemical shrink) process, are applied for chemically amplified resist. The compounds of the formula I and II according to the present invention are suitable as photolatent acids in the resists for thermal flow process or RELACS process. These technologies request the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copoly- mers are for example published in JP-A-2003-167357, JP-A-2001-337457, JP-A-2003- 66626, US2001/53496, Proceeding of SPIE 5039,789 (2003), IEDM98, Dig., 333 (1998), Proceeding Silicon Technology 11, 12 (1999).

The compounds of the formula I and 11 according to the present invention are suitable as photolatent acids in the F2 resist technology, i. e. a technology using F2 excimer lasers (157 nm) for the imaging step. This technology requests the use of specific polymers/copolymers which have high transparency at 157 nm. Examples of polymer suitable for this application are fluoropolymers described in, for example, Proc. SPIE 3999, 330-334 (2000), Proc. SPIE 3999,357-364 (2000), Proc. SPIE 4345,273-284 (2001), Proc.

SPIE 4345,285-295 (2001), Proc. SPIE 4345,296-307 (2001), Proc. SPIE 4345,327-334 (2001), Proc. SPIE 4345,350-360 (2001), Proc. SPIE 4345,379-384 (2001), Proc. SPIE 4345,385-395 (2001), Proc. SPIE 4345,417-427 (2001), Proc. SPIE 4345, 428-438 (2001), Proc. SPIE 4345, 439-447 (2001), Proc. SPIE 4345,1048-1055 (2001), Proc. SPIE 4345, 1066-1072 (2001), Proc. SPIE 4690,191-199 (2002), Proc. SPIE 4690,200-211 (2002), Proc. SPIE 4690,486-496 (2002), Proc. SPIE 4690,497-503 (2002), Proc. SPIE 4690,504- 511 (2002), Proc. SPIE 4690,522-532 (2002), US 20020031718, US 20020051938, US 20020055060, US 20020058199, US 20020102490, US 20020146639, US 20030003379, US 20030017404, WO 2002021212, WO 2002073316, WO 2003006413, JP-A-2001- 296662, JP-A-2001-350263, JP-A-2001-350264, JP-A-2001-350265, JP-A-2001-356480, JP-A-2002-60475, JP-A-2002-90996, JP-A-2002-90997, JP-A-2002-155112, JP-A-2002- 155118, JP-A-2002-155119, JP-A-2002-303982, JP-A-2002-327013, JP-A-2002-363222, JP-A-2003-2925, JP-A-2003-15301, JP-A-2003-2925, JP-A-2003-177539, JP-A-2003- 192735, JP-A-2002-155115, JP-A-2003-241386, JP-A-2003-255544, US2003/36016, US2002/81499. Other suitable polymer for F2 resist is silicon-containing polymers described in, for example, Proc. SPIE 3999,365-374 (2000), Proc. SPIE 3999, 423-430 (2000), Proc.

SPIE 4345, 319-326 (2001), US 20020025495, JP-A-2001-296664, JP-A-2002-179795, JP- A-2003-20335, JP-A-2002-278073, JP-A-2002-55456, JP-A-2002-348332. Polymers containing (meth) acrylonitrile monomer unit described in, for example, JP-A-2002-196495 is also suitable for F2 resist.

The compounds of the formula I and 11 according to the present invention are suitable as photolatent acids in the EUV resist, i. e. a technology using light source of esçtreme ultra violet (13 nm) for the imaging step. This technology requests the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copoly- mers are for example published in JP-A-2002-55452, JP-A-2003-177537, JP-A-2003- 280199, JP-A-2002-323758, US2002/51932.

The compounds of the formula I and 11 according to the present invention are suitable as photolatent acids in the EB (electron beam) or X-ray resist, i. e. a technology using EB or X- ray for the imaging step. These technologies request the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copoly- mers are for example published in JP-A-2002-99088, JP-A-2002-99089, JP-A-2002-99090, JP-A-2002-244297, JP-A-2003-5355, JP-A-2003-5356, JP-A-2003-162051, JP-A-2002- 278068, JP-A-2002-333713, JP-A-2002-31892.

The compounds of the formula and)) according to the present invention are suitable as photolatent acids in the chemically amplified resist for immersion lithography. This technology reduces minimum feature size of resist pattern using liquid medium between the light source and the resist as described in Proceeding of SPIE 5040,667 (2003), Proceeding of SPIE 5040, 679 (2003), Proceeding of SPIE 5040,690 (2003), Proceeding of SPIE 5040,724 (2003).

The compounds of the formula I and 11 according to the present invention are suitable as photolatent acids in the positive and negative photosensitive polyimide. This technology requests the use of specific polymers/copolymers. Suitable formulations and the preparation of suitable polymer/copolymers are for example published in JP-A-9-127697, JP-A-10-307393, JP-A-10-228110, JP-A-10-186664, JP-A-11-338154, JP-A-11-315141, JP-A-11-202489, JP-A-11-153866, JP-A-11-84653, JP-A-2000-241974, JP-A-2000-221681, JP-A-2000-34348, JP-A-2000-34347, JP-A-2000-34346, JP-A-2000-26603, JP-A-2001- 290270, JP-A-2001-281440, JP-A-2001-264980, JP-A-2001-255657, JP-A-2001-214056, JP-A-2001-214055, JP-A-2001-166484, JP-A-2001-147533, JP-A-2001-125267, JP-A- 2001-83704, JP-A-2001-66781, JP-A-2001-56559, JP-A-2001-33963, JP-A-2002-356555, JP-A-2002-356554, JP-A-2002-303977, JP-A-2002-284875, JP-A-2002-268221, JP-A- 2002-162743, JP-A-2002-122993, JP-A-2002-99084, JP-A-2002-40658, JP-A-2002-37885, JP-A-2003-26919.

The formulations disclosed in the aforementioned publications are incorporated herein by reference. It is understood, that the compounds of the present invention are in particular suitable for use as photolatent acid in all the polymers/copolymers and compositions described in these cited publications.

Acid-sensitive components that produce a negative resist characteristically are especially compounds which, when catalysed by an acid (e. g. the acid formed during irradiation of the compounds of formulae I or 11 are capable of undergoing a crosslinking reaction with them- selves and/or with one or more further components of the composition. Compounds of this type are, for example, the known acid-curable resins, such as, for example, acrylic, polyes- ter, alkyd, melamine, urea, epoxy and phenolic resins or mixtures thereof. Amino resins, phenolic resins and epoxy resins are very suitable. Acid-curable resins of this type are ge- nerally known and are described, for example, in"Ullmann's Encyclopadie der technischen Chemie" [Ullmanns Enceclopedia of Technical Chemistry], 4th Edition, Vol. 15 (1978), p.

613-628. The crosslinker components should generally be present in a concentration of from 2 to 40, preferably from 5 to 30, percent by weight, based on the total solids content of the negative resist composition.

The invention thus includes, as a special embodiment, chemically amplified negative, alkali- developable photoresists, comprising (a4) an alkali-soluble resin as binder (a5) a component that when catalysed by an acid undergoes a crosslinking reaction with itself and/or with the binder, and (b) as photosensitive acid donor an sulfonate derivative of formula I or 11.

The composition may comprise additionally to the component (b) other photosensitive acid donors (b1), other photoinitiators (d) and/or (c) other additives.

Especially preferred as acid-curable resins (a5) are amino resins, such as non-etherified or etherified melamine, urea, guanidine or biuret resins, especially methylated melamine resins or butylated melamine resins, corresponding glycolurils and urones. By"resins"in this con- text, there are to be understood both customary technical mixtures, which generally also comprise oligomers, and pure and high purity compounds. N-hexa (methoxymethyl) melamine and tetramethoxymethyl glucoril and N, N'-dimethoxymethylurone are the acid- curable resins given the greatest preference.

The concentration of the compound of formula I or 11 in negative resists in general is from 0.1 to 30, preferably up to 20, percent by weight, based on the total solids content of the compositions. From 1 to 15 percent by weight is especially preferred.

Where appropriate, the negative compositions may comprise a film-forming polymeric binder (a4). This binder is preferably an alkali-soluble phenolic resin. Well suited for this purpose are, for example, novolaks, derived from an aldehyde, for example acetaldehyde or furfuraldehyde, but especially from formaldehyde, and a phenol, for example unsubstituted phenol, mono-or di-chlorosubstituted phenol, such as p-chlorophenol, phenol mono-or di- substituted by C-Cgalkyl, such as o-, m-or p-cresol, the various xylenols, p-tert-butylphenol, p-nonylphenol, p-phenylphenol, resorcinol, bis (4-hydroxyphenyl) methane or 2,2-bis (4-hy- droxyphenyl) propane. Also suitable are homo-and co-polymers based on ethylenically un- saturated phenols, for example homopolymers of vinyl-and 1-propenyl-substituted phenols, such as p-vinylphenol or p- (1-propenyl) phenol or copolymers of these phenols with one or more ethylenically unsaturated materials, for example styrenes. The amount of binder should generally be from 30 to 95 percent by weight or, preferably, from 40 to 80 percent by weight.

An especially preferred negative resist composition comprises from 0.5 to 15 percent by weight of an sulfonate derivative of formula I or 11 (component (b) ), from 40 to 99 percent by weight of a phenolic resin as binder (component (a4) ), for example one of those mentioned above, and from 0.5 to 30 percent by weight of a melamine resin (component (a5) ) as crosslinking agent, the percentages relating to the solids content of the composition. With novolak or especially with polyvinyl phenol as binder, a negative resist having especially good properties is obtained.

Sulfonate derivatives can also be used as acid generators, which can be activated photo- chemically, for the acid-catalysed crosslinking of, for example, poly (glycidyl) methacrylates in negative resist systems. Such crosslinking reactions are described, for example, by Chae eta/. in Pollimo 1993,17 (3), 292.

Suitable formulations and the preparation of suitable polymer/copolymers forthe negative resist using the compounds of the formula I and 11 according to the present invention are for example published in JP-A-2003-43688, JP-A-2003-114531, JP-A-2002-287359, JP-A- 2001-255656, JP-A-2001-305727, JP-A-2003-233185, JP-A-2003-186195, US6576394.

The positive and the negative resist compositions may comprise in addition to the photosensitive acid donor compound of formula I or 11 further photosensitive acid donor compounds (b1), further additives (c), other photoinitiators (d), and/or sensitizers (e).

Therefore, subject of the invention also are chemically amplified resist compositions as described above, in addition to components (a) and (b), or components (a1), (a2), (a3) and (b), or components (a4), (a5) and (b) comprising further additives (c), further photosensitive acid donor compounds (b1), other photoinitiators (d), and/or sensitizers (e).

Sulfonate derivatives of the present invention in the positive and negative resist can also be used together with other, known photolatent acids (b1), for example, onium salts, 6- nitrobenzylsulfonates, bis-sulfonyl diazomethane compounds, cyano group-containing oximesulfonate compounds. , etc.. Examples of known photolatent acids for chemically amplified resists are described in US 5731364, US 5800964, EP 704762, US 5468589, US 5558971, US 5558976, US 6004724, GB 2348644 and particularly in EP 794457 and EP 795786.

If a mixture of photolatent acids is used in the resist compositions according to the invention, the weight ratio of sulfonate derivatives of formula I or 11 to the other photolatent acid (b1) in the mixture is preferably from 1: 99 to 99: 1.

Examples of photolatent acids which are suitable to be used in admixture with the compou- nds of formula I or 11 are (1) onium salt compounds, for example, iodonium salts, sulfonium salts, phosphonium salts, diazonium salts, pyridinium salts. Pre- ferred are diphenyliodonium triflate, diphenyliodonium pyrenesulfonate, diphenyliodonium dodecylbenzenesulfonate, triphenylsulfonium triflate, triphenylsulfonium hexafluoroantimo- nate, diphenyliodonium hexafluoroantimonate, triphenylsulfonium naphthalenesulfonate, (hydroxyphenyl) benzylmethylsulfonium toluenesulfonate and the like ; the iodonium cation may also be 4-Methylphenyl4'-isobutylphenyliodonium or 4-Methylphenyl-4'-isopropylphen- yliodonium. Particularly preferred are triphenylsulfonium triflate, diphenytiodanium hexafluo- roantimonate. Other examples are described in JP-A-2002-229192, JP-A-2003-140332, JP- A-2002-128755, JP-A-2003-355948, JP-A-2003-149800, JP-A-2002-6480, JP-A-2002- 116546, JP-A-2002-156750, US6458506, US2003127061, US5554664.

(2) halogen-containing compounds haloalkyl group-containing heterocyclic compounds, haloalkyl group-containing hydrocarbon compounds and the like. Preferred are (trichloromethyl)-s-triazine derivatives such as phen- y-bis (trichloromethyl)-s-triazine, methozyphenyl-bis (trichloromethyl)-s-triazine, naphthyl-bis- (trichloromethyl)-s-triazine and the like ; 1.1-bis (4-chlorophnyl)-2, 2, 2-trichloroethane ; and the like.

(3) sulfone compounds, for example of the formula , wherein Ra and Rb independently of one another are alkyl, cycloalkyl or aryl, each of which may have at least one substituent, e. g.

Such compounds are disclosed for example in US 2002/0172886-A, JP-A-2003-192665, US2002/9663. More examples are p-ketosu ! fones, P- sulfonylsulfones and their a-diazo derivatives and the like. Preferred are phe- nacylphenylsulfone, mesitylphenacylsulfone, bis (phenylsulfonyl) methane, bis (phenylsulfo- nyl) diazomethane.

(4) sulfonate compounds, for example alkylsulfonic acid esters, haloalkylsulfonic acid esters, arylsulfonic acid esters, iminosulfona- tes, imidosulfonates and the like. Preferred imidosulfonate compounds are, for example, N- (trifluoromethylsulfonyloxy)succinimide, N-(trifluoromethylsulfonyloxy)phthalimide, N-(trifluoro- methylsulfonyloxy)napthylimide, N- (trifluoromethylsulfonyloxy)diphenylmaleimide, N-(triflu- oromethylsulfonyloxy)-bicyclo-[2, 2,1]-hept-5-ene-2, 3-dicarboximide, N- (trifluoromethylsulfon- ylox, y)-7-oxabicyclo- [2, 2,1]-hept-5-ene-2, 3-dicarboximide, N- (trifluoromethylsulfonyloxy) 7-ox- abicyclo- [2, 2,1]-hept-5-ene-2, 3-dicarboximide, N-(trifluoromethylsulfonyloxy)-bicydo-[2, 2, 1]- heptan-5,6-oxy-2, 3-dicarboximide, N- (camphanylsulfonyloxy) succinimide, N- (camphanylsul- fonyloxy) phthalimide, N-(camphanylsulfonyloxy) naphthylimide, N-(camphanylsulfonyloxy) di- phenylmaleimide, N-(camphanylsulfonyloxy) bicyclo-[2, 2,1]-hept-5-ene-2, 3-dicarboximide, N- (camphanylsulfonyloxy)-7-oxabicyclo-[2, 2,1]-hept-5-ene-2, 3-dicarboximide, N- (camphanyl- sulfonyloxy)-7-oxabicyclo- [2, 2,1] hept-5-ene-2, 3-dicarboximide, N-(camphanylsulfonylos <BR> <BR> <BR> bicydo-t2, 2, i]-heptan-5, 6-oxy-2, 3-dicarboximide, N-(4- methylphenylsulfonyloxy) succinimide, N-(4-methylphenylsulfonyloxyphthalimide, N-(4- <BR> <BR> <BR> methylphenylsulfonylo) naphthylimide, N-(4-methylphenylsulfonyloxy) naphthylimide, N-(4- methylphenylsulfonyloxy) diphenylmaleimide, N- (4-methylphenylsulfonyloxy)-bicydo- [2, 2,1]- hept-5-ene-2, 3-dicarboximide, N- (4-methylphenylsulfonyloxy)-7-oxabicyclo- [2, 2, 1]-hept-5- ene-2,3-dicarboximide, N-(4-methylphenylsulfonyloxy)-bicyclo-[2, 2,1]-heptan-5, 6-oxy-2,3- <BR> <BR> <BR> dicarboximide, N-(2-trifluoromethylphenylsulfonyloxy) succinimide, N-(2- trifluoromethylphenylsulfonyloxy) naphthylimide, N- (2-trifluoromethylphenyl- sulfonyloxy) diphenylmaleimide, N-(2-trifluoromethylphenylsulfonyloxy)-bicyclo-[2, 2,1]-hept- 5-ene-2,3-dicarboximide, N-(2-trifluoromethylphenylsulfonyloxy)-7-oxabicyclo-[2, 2,1]-hept-5- ene-2,3-dicarboximide, N-(2-trifluoromethylphenylsulfonyloxy)-bicyclo-[2, 2, 1]-heptan-5, 6- oxy-2, 3-dicarboximide and the like.

Other suitable sulfonate compounds preferably are, for example, benzoin tosylat, pyrogallol tristriflate, pyrogallolomethanesulfonic acid triester, nitorobenzyl-9, 10- diethyoxyanthracene-2-sulfonate, a- (4-toluene-sulfonyloxyimino)-benzyl cyanide, a- (4- toluene-sulfonyloxyimino)-4-methoxybenzyl cyanide, a- (4-toluene-sulfonyloxyimino)-2- thienylmethyl cyanide, a- (methanesulfonyloxyimino)-1-cyclohexenylacetonitrile, a- <BR> <BR> <BR> (butylsulfonyloxyimino)-1-cydopentenylacetonitrile, (4-methylsulfonyloxyimino-cyclohexa- 2,5-dienylidene)-phenyl-acetonitrile, (5-methylsulfonyloxyimino-5H-thiophen-2-ylidene)- phenyl-acetonitrile, (5-methylsulfonyloxyimino-5H-thiophen-2-ylidene)- (2-methylphenyl)- <BR> <BR> <BR> acetonitrile, (5-propylsulfonyloxyimino-5H-thiophen-2-ylidene)- (2-methylphenyl)-acetonitrile, <BR> <BR> <BR> <BR> <BR> (5-(p-toluenesulfonyloxyiminof5H-thiophen-2-ylidenes (2-methylphenyl)-acetonitrile, (5-(10- <BR> <BR> <BR> <BR> <BR> <BR> camphorsulfonyloxyimino)-5H-thiophen-2-ylidene)- (2-methylphenyl)-acetonitrile, (5- methylsulfonyloxyimino-5H-thiophen-2-ylidene)-(2-chloropheny l)-acetonitrile, 2,2, 2-trifluoro- 1- {4- (3- [4- {2, 2, 2-trifluoro-1- (1-propanesulfonyloxyimino)-ethyl}-phenoxy]-propoxy)-phenyl} - ethanone oxime 1-propanesulfonate, 2,2, 2-trifluoro-1-{4-(3-[4-{2, 2, 2-trifluoro-1-(1-p- toluenesulfonyloxyimino)-ethyl}-phenoxy]-propoxy)-phenyl}-et hanone oxime 1-p- toluenesulfonate and the like.

In the radiation sensitive resin composition of this invention, particularly preferred sulfonate compounds include pyrogallolmethanesulfonic acid triester, N- (trifluoromethylsulfonyloxy) bi- cyclo- [2, 2,1]-hept-5-ene-2, 3-dicarboximide, N- (camphanyisulfonyloxy) naphthylimide, N- (2- rifluoromethylphenylsulfonyloxy) phthalimide, N-(trifluoromethylsulfonyloxy)-bicyclo-[2, 2, 1]- hept-5-ene-2, 3-dicarboximide, N-(camphanylsslfonyloxy) naphthylimide, N-(2-trifluoromethyl- ph@nylsulfonylo) phthalimide and the like.

(5) Quinonediazide compounds, for example 1, 2-quinonediazidesulfonic acid ester compounds of polyhydroxy compounds. Preferred are compounds having a 1, 2-quinonediazidesulfonyl group, e. g. a 1, 2-benzoquinonediazide-4- sulfonyl group, a 1, 2-naphthoquinonediazide-4-sulfonyl group, a 1,2- naphthoquinonediazide-5-sulfonyl group, a 1, 2-naphthoquinonediazide-6-sulfonyl group or the like. Particularly preferred are compounds having a 1, 2-naphthoquinonediazide-4- sulfonyl group or a 1, 2-naphthoquinonediazide-5-sulfonyl group. In particular suitable are 1, 2-quinonediazidesulfonic acid esters of (poly) hydroxyphenyl aryl ketones such as 2,3, 4- trihydroxybenzophenone, 2,4, 6-trihydroxybenzophenone, 2,3, 4,4'- tetrahydroxybenzophenone, 2,2', 3, 4-tetrahydroxybenzophenone, 2,3, 4,4'- tetrahydroxybenzophenone, 2,2', 4,4'-tetrahydroxybenzophenone 2,2', 3,4, 4'- pentahydroxybenzophenone, 2,2'3, 2,6'-pentahydroxybenzophenone, 2,3, 3', 4, 4'5'-hexahy- droxybenzophenone, 2,3', 4,4', 5'6-hexahydroxybenzophenone and the like ; 1, 2-quinonedi- azidesulfonic acid esters of bis-[(poly) hydroxyphenyl] alkanes such as bis (4-hydroxyphe- nyl) ethane, bis (2, 4-dihydroxyphenyl) ethane, 2,2-bis (4-hydroxyphenyl) propane, 2,2-bis (2,4- dihydroxyphenyl) propane, 2, 2-bis- (2, 3, 4-tridroxyphenyl) propane and the like ; 1,2-quinone- diazidesulfonic acid esters of (poly) hydroxyphenylalkanes such as 4, 4'-dihydroxytriphenyl- methane, 4, 4'4"-trihydroxytriphenylmethane, 4, 4'5, 5'-tetramethyl-2, 2'2"-trihydroxytriphenyl- methane, 2,2, 5, 5'-tetramethyl-4, 4', 4"-trihydroxytriphenylmethane, 1,1, 1-tris (4-hydroxyphen- yl) ethane, 1,1-bis (4-hydroxyphenyl)-1-phenylethane, 1,1-bis (4-hydroxyphenyl)-1- (4- [1- (hydroxyphenyl)-1-methylethyl] phenyl) ethane and the like; 1, 2-quinonediazidesulfonic acid esters of (poly) hydroxyphenylflavans such as 2,4, 4-trimethyl-2', 4', 7-trihydroxy-2- phenylflavan, 2,4, 4-trimethyl-2', 4', 5', 6, 7-pentahydroxy-2-phenylflavan and the like.

Other examples of photolatent acids which are suitable to be used in admixture with the compounds according to the present invention are described in JP-A-2003-43678, JP-A- 2003-5372, JP-A-2003-43677, JP-A-2002-357904, JP-A-2002-229192.

The positive and negative photoresist composition of the present invention may optionally contain one or more additives (c) customarily used in photoresists in the customary amounts known to a person skilled in the art, for example, dyes, pigments, plasticizers, surfactants, flow improvers, wetting agents, adhesion promoters, thixotropic agents, colourants, fillers, solubility accelerators, acid-amplifier, photosensitizers and organic basic compounds.

Further examples for organic basic compounds which can be used in the resist composition of the present invention are compounds which are stronger bases than phenol, in particular, nitrogen-containing basic compounds. These compounds may be ionic, like, for example, tetraalkylammonium salts or non-ionic. Preferred organic basic compounds are nitrogen- containing basic compounds having, per molecule, two or more nitrogen atoms having dif- ferent chemical environments. Especially preferred are compounds containing both at least one substituted or unsubstituted amino group and at least one nitrogen-containing ring stru- cture, and compounds having at least one alkylamino group. Examples of such preferred compounds include guanidine, aminopyridine, amino alkylpyridines, aminopyrrolidine, ind- azole, imidazole, pyrazol, pyrazine, pyrimidine, purine, imidazoline, pyrazoline, piperazine, aminomorpholine, and aminoalkylmorpholines. Suitable are both, the unsubstituted comp- ounds or substituted derivatives thereof. Preferred substituents include amino, aminoalkyl groups, alkylamino groups, aminoaryl groups, arylamino groups, alkyl groups alkoxy groups, acyl groups acyloxy groups aryl groups, aryloxy groups, nitro, hydroxy, and cyano. Specific examples of especially preferred organic basic compounds include guanidine, 1, 1-dimethyl- guanidine, 1, 1,3, 3-tetramethylguanidine, 2-aminopyridine, 3-aminopyridine, 4- <BR> <BR> <BR> aminopyridine, 2-dimethylaminopyridine, 4-dimethylaminopyridine, 2-diethylaminopyridine, 2- (aminomethyl) pyridine, 2-amino-3-methylpyridine, 2-amino-4-methylpyridine, 2-amino-5- methylpyridine, 2-amino-6-methylpyridine, 3-aminoehtylpyridine, 4-aminoethylpyridine, 3- aminopyrrolidine, piperazine, N- (2-aminoethyl) piperazine, N- (2-aminoethyl) piperidine, 4- amino-2,2, 6, 6-tetramethylpiperidine, 4-piperidinopiperidine, 2-imimopiperidine, 1- (2- aminoethyl) pyrrolidine, pyrazole, 3-amino-5-methylpyrazole, 5-amino-3-methyl-1-p- tolylpyrazole, pyrazine, 2- (aminomethyl)-5-methylpyrazine, pyrimidine, 2,4- diaminopyrimidine, 4,6-dihydroxypyrimidine, 2-pyrazoline, 3-pyrazoline, N-aminomorpholine, and N- (2-aminoethyl) morpholine.

Other examples of suitable organic basic compounds are described in DE 4408318, US 5609989, US 5556734, EP 762207, DE 4306069, EP 611998, EP 813113, EP 611998, and US 5498506, JP-A-200343677, JP-A-200343678, JP-A-2002-226470, JP-A-2002- 363146, JP-A-2002-363148, JP-A-2002-363152, JP-A-2003-98672, JP-A-2003-122013, JP- A-2002-341522. However, the organic basic compounds suitable in the present invention are not limited to these examples.

The nitrogen-containing basic compounds may be used alone or in combination of two or more thereof. The added amount of the nitrogen-containing basic compounds is usually from 0.001 to 10 parts by weight, preferably from 0.01 to 5 parts by weight, per 100 parts by weight of the photosensitive resin composition (excluding the solvent). If the amount thereof is smaller than 0.001 part by weight, the effects of the present invention cannot be obtained. On the other hand, if it exceeds 10 parts by weight, reduced sensitivity and impaired developability at unexposed parts are liable to be caused.

The composition can further contain a basic organic compound which decomposes under actinic radiation ("suicide base") such as for example described in EP 710885, US 5663035, US 5595855, US 5525453, and EP 611998.

Examples of dyes (c) suitable for the compositions of the present invention are oil-soluble dyes and basic dyes, e. g. Oil Yellow #101, Oil Yellow #103, Oil Pink #312, Oil Green BG, Oil Blue BOS, Oil Blue #603, Oil Black BY, Oil Black BS, Oil Black T-505 (all manufactured by Orient Chemical Industries Ltd. , Japan), crystal violet (CI42555), methyl violet (Cl 42535), rhodamine B (Cl 45170B), malachite green (Cl 42000), and methylene blue (C152015).

Spectral sensitizers (e) may be further added to sensitize the photo latent acid to exhibit ab- sorption in a region of longer wavelengths than far ultaviolet, whereby the photosensitive composition of the present invention can, for example, be rendered sensitive to an i-line or g-line radiation. Examples of suitable spectral sensitizers include benzophenones, p, p'- tetramethyldiaminobenzophenone, p, p'-tetraethylethylaminobenzophenone, thioxanthone, 2-chlorothioxanthone, anthrone, pyrene, perylene, phenothiazine, benzil, acridine orange, benzoflavin, cetoflavin T, 9, 10-diphenylanthracene, 9-fluorenone, acetophenone, phenanthrene, 2-nitrofluorene, 5-nitroacenaphthene, benzoquinone, 2-chloro-4-nitroaniline, N-acetyl-p-nitroaniline, p-nitroaniline, N-acetyl4-nitro-1-naphthylamine, picramide, anthraquinone, 2-ethylanthraquinone, 2-tert-butylanthraquinone, 1,2-benzanthraquinone, 3- methyl-1, 3-diaza-1, 9-benzanthrone, dibenzalacetone, 1,2-naphthoquinone, 3-acylcoumarin derivatives, 3, 3'-carbonyl-bis (5, 7-dimethoxycarbonylcoumarin), 3- (aroylmethylene) thiazolines, eosin, rhodamine, erythrosine, and coronen. However, the suitable spectral sensitizers are not limited to these examples.

These spectral sensitizers can be used also as light absorbers for absorbing the far ultravio- let emitted by a light source. In this case, the light absorber reduces light reflection from the substrate and lessens the influence of multiple reflection within the resist film, thereby di- minishing the effect of standing waves.

Further suitable additives (c) are'acid-amplifiers', compounds that accelerate the acid for- mation or enhance the acid concentration. Such compounds may also be used in combina- tion with the sulfonate derivatives of the formulae I or 11 according to the invention in positive or negative resists, or in imaging systems as well as in all coating applications. Such acid amplifiers are described e. g. in Arimitsu, K. et al. J. Photopolym. Sci. Technol. 1995,8, pp 43; Kudo, K. et al. J. Photopolym. Sci. Technol. 1995,8, pp 45; tchimura, K. et al. Chem: Letters 1995, pp 551.

Other additives (c) to improve the resist performance such as resolution, pattern profile, process latitude, line edge roughness, stability are described in JP-A-2002-122992, JP-A- 2002-303986, JP-A-2002-278071, JP-A-2003-57827, JP-A-2003-140348, JP-A-2002-6495, JP-A-2002-23374, JP-A-2002-90987, JP-A-2002-91004, JP-A-2002-131913, JP-A-2002- 131916, JP-A-2002-214768, JP-A-2001-318464, JP-A-2001-330947, JP-A-2003-57815, JP- A-2003-280200, JP-A-2002-287362, JP-A-2001-343750. Such compounds may also be used in combination with the sulfonate derivatives of the formulae I or 11 according to the in- vention in positive or negative resists.

Usually, for the application to a substrate of the photosensitive composition of the present invention, the composition is dissolved in an appropriate solvent. Preferred examples of these solvents include ethylene dichloride, cyclohexanone, cyclopentanone, 2-heptanone, y -butyrolactone, methyl ethyl ketone, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, 2-methoxyethyl acetate, 2-ethoxyethyl acetate, 2-ethoxyethanol, diethyl glycol dimethyl ether, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate, toluene, ethyl acetate, butyl acetate, methyl lactate, ethyl lactate, methyl methoxypropionate, ethyl ethoxypropionate, methyl, pyruvate, ethyl pyruvate, propyl pyruvate, N, N-dimethylformamide, dimethyl sulfoxide, N-methylpyrrolidone, and tetrahydrofuran. These solvents may be used alone or as mixtures. Preferred examples of the solvents are esters, such as 2-methoxyethyl acetate, ethylene glycolmonoethyl ether acetate, propylene glycol monomethyl ether acetate, methyl methoxypropionate, ethyl ethoxypropionate, and ethyl lactate. Use of such solvents is advantageous because the sulfonate derivatives represented by formulae I or 11 according to the present invention have good compatibility therewith and better solubility therein.

A surfactant can be added to the solvent. Examples of suitable surfactants include nonionic surfactants, such as polyoxyethylene alkyl ethers, e. g. polyoxyethylene lauryl ether, poly- oxyethylene stearyl ether, polyoxyethylene acetyl ether, and polyoxyethylene oleyl ether ; polyoxyethylene alkylaryl ethers, e. g. polyoxyethylene, octylphenol ether and polyoxyethylene nonylphenol ether; polyoxyethylenelpolyoxypropylene block copolymers, sorbitan/fatty acid esters, e. g. sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate ; fluorochemical surfactants such as F-top EF301, EF303, and EF352 (manufactured by New Akita Chemical Company, Japan).

Megafac F171 and F17.3 (manufactured by Dainippon Ink & Chemicals, Inc,. Japan), Fluorad FC 430 and FC431 (manufactured by Sumitomo 3M Ltd., Japan), Asahi Guard AG710 and Surflon S-382, SC101, SC102, SC103, SC104, SC105, and SC106 (manufactured by Asahi Grass Col, Ltd. , Japan); organosiloxane polymer KP341 (manufactured by Shin-Etsu Chemical Co. , Ltd. , Japan); and acrylic or methacrylic (co) polymers Poly-flow Now. 75 and NO. 95 (manufactured by Kyoeisha Chemical Co. , Ltd., Japan). Other examples are described in JP-A-2001-318459, JP-A-2002-6483. The added amount of the surfactant usually is 2 parts by weight or lower, desirably 0. 5 part by weight or lower, per 100 parts by weight of the solid components of the composition of the present invention. The surfactants may be added alone or in combination of two or more thereof.

The solution is uniformly applied to a substrate by means of known coating methods, for ex- ample by spin-coating, immersion, knife coating, curtain pouring techniques, brush applica- tion, spraying and roller coating. It is also possible to apply the photosensitive layer to a temporary, flexible support and then to coat the final substrate by coating transfer (lami- nating).

The amount applied (coating thickness) and the nature of the substrate (coating substrate) are dependent on the desired field of application. The range of coating thicknesses can in principle include values from approximately 0. 01 pm to more than 100 gm.

After the coating operation generally the solvent is removed by heating, resulting in a layer of the photoresist on the substrate. The drying temperature must of course be lower than the temperature at which certain components of the resist might react or decompose. In general, drying temperatures are in the range from 60 to 160°C.

The resist coating is then irradiated image-wise. The expression"image-wise irradiation"in- dudes irradiation in a predetermined pattern using actinic radiation, i. e. both irradiation through a mask containing a predetermined pattern, for example a transparency, a chrome mask or a reticle, and irradiation using a laser beam or electron beam that writes directly on- to the resist surface, for example under the control of a computer, and thus produces an image. Another way to produce a pattern is by interference of two beams or images as used for example in holographic applications. It is also possible to use masks made of liquid crystals that can be addressed pixel by pixel to generate digital images, as is, for example described by A. Bertsch; J. Y. Jezequel ; J. C. Andre in Journal of Photochemistry and Photobiology A: Chemistry 1997,107 pp. 275-281 and by K. P. Nicolay in Offset Printing 1997, 6, pp. 34-37.

After the irradiation and, if necessary, thermal treatment, the irradiated sites (in the case of positive resists) or the non-irradiated sites (in the case of negative resists) of the composition are removed in a manner known perse using a developer.

In order to accelerate the catalytic reaction and hence the development of a sufficient differ- ence in solubility between the irradiated and unirradiated sections of the resist coating in the developer, the coating is preferably heated before being developed. The heating can also be carried out or begun during the irradiation. Temperatures of from 60 to 160°C are pre- ferably used. The period of time depends on the heating method and, if necessary, the optimum period can be determined easily by a person skilled in the art by means of a few routine experiments. It is generally from a few seconds to several minutes. For example, a period of from 10 to 300 seconds is very suitable when a hotplate is used and from 1 to 30 minutes when a convection oven is used. It is important for the latent acid donors according to the invention in the unirradiated sites on the resist to be stable under those processing conditions.

The coating is then developed, the portions of the coating that, after irradiation, are more soluble in the developer being removed. If necessary, slight agitation of the workpiece, gentle brushing of the coating in the developer bath or spray developing can accelerate that process step. The aqueous-alkaline developers customary in resist technology may, for ex- ample, be used for the development. Such developers comprise, for example, sodium or potassium hydroxide, the corresponding carbonates, hydrogen carbonates, silicates or me- tasilicates, but preferably metal-free bases, such as ammonia or amines, for example ethylamine, n-propylamine, diethylamine, di-n-propylamine, triethylamine, methyl diethylamine, alkanolamines, for example dimethyl ethanolamine, triethanolamine, quaternary ammonium hydroxides, for example tetramethylammonium hydroxide or tetraethylammonium hydroxide. The developer solutions are generally up to 0.5 N, but are usually diluted in suitable manner before use. For example solutions having a normality of approximately 0. 1-0. 3 are well suited. The choice of developer depends on the nature of the photocurable surface coating, especially on the nature of the binder used or of the resulting photolysis products. The aqueous developer solutions may, if necessary, also comprise relatively small amounts of wetting agents and/or organic solvents. Typical organic solvents that can be added to the developer fluids are, for example, cyclohexanone, 2-ethoxyethanol, toluene, acetone, isopropanol and also mixtures of two or more of these solvents. A typical aqueous/organic developer system is based on ButylcellosolveRT""/water.

Subject of the invention also is a process for the preparation of a photoresist by (1) applying to a substrate a composition as described above; (2) post apply baking the composition at temperatures between 60°C and 160°C ; (3) image-wise irradiating with light of wavelengths between 10 nm and 1500 nm; (4) optionally post exposure baking the composition at temperatures between 60°C and 160°C ; and (5) developing with a solvent or with an aqueous alkaline developer.

Preferred is a process, wherein the image-wise irradiation is carried out with monochromatic or polychromatic radiation in the wavelength range from 150 to 450 nm, in particular in the range from 190 to 260 nm.

The photoresist compositions can be used on all substrates and with all exposure techniques known to the person skilled in the art. For example, semiconductor substrates can be used, such as silicon, gallium arsenide, germanium, indium antimonide; furthermore substrate covered by oxide or nitride layers, such as silicon dioxide, silicon nitride, titanium nitride, siloxane, as well as metal substrates and metal coated substrates with metals such as aluminium, copper, tungsten, etc. The substrate can also be coated with polymeric materials, for example with organic antireflective coatings, insulation layers and dielectric coatings from polymeric materials prior to coating with the photoresist.

The photoresist layer can be exposed by all common techniques, such as direct writing, i. e. with a laser beam or projection lithography in step-and repeat mode or scanning mode, or by contact printing through a mask.

In case of projection lithography a wide range of optical conditions can be used such as coherent, partial coherent or incoherent irradiation. This includes off-axis illumination techniques, for example annular illumination and quadrupol illumination where the radiation is allowed to pass only certain regions of the lens, excluding the lens center.

The mask used to replicate the pattern can be a hard mask or a flexible mask. The mask can include transparent, semitransparent and opaque patterns. The pattern size can include also patterns which are at or below the resolution limit of the projection optics and placed on the mask in a certain way in order to modify the aerial image, intensity and phase modulation of the irradiation after having passed the mask. This includes phase shift masks and half-tone phase shift masks.

The patterning process of the photoresist composition can be used to generate patterns of any desired geometry and shape, for example dense and isolated lines, contact holes, trenches, dots, etc.

The photoresists according to the invention have excellent lithographic properties, in particular a high sensitivity, and high resist transparency for the imaging radiation.

Possible areas of use of the composition according to the invention are as follows : use as photoresists for electronics, such as etching resists, ion-implantation resist, electroplating resists or solder resists, the manufacture of integrated circuits or thin film transistor-resist (TFT); the manufacture of printing plates, such as offset printing plates or screen printing stencils, use in the etching of mouldings or in stereolithography or holography techniques which are employed for various applications, for example, 3D optical information storage described in J. Photochem. Photobio. A, 158, 163 (2003), Chem. Mater. 14, 3656 (2002).

The composition according to the invention is also suitable for making inter-metal dielectrics layer, buffer layer, passivation coat of semiconductor devices and suitable for making waveguide for optoelectronics. For MEMS (micro electro mechanical systems) application, the composition according to the invention can be used as etching resist, mold for material deposition, and three dimensional objects of device itself. The coating substrates and pro- cessing conditions vary accordingly. Examples are described in US639't523.

The compositions according to the invention are also outstandingly suitable as coating com- positions for substrates of all types, including wood, textiles, paper, ceramics, glass, plas- tics, such as polyesters, polyethylene terephthalate, polyolefins or cellulose acetate, especi- ally in the form of films, but especially for coating metals, such as Ni, Fe, Zn, Mg, Co or es- pecially Cu and Al, and also Si, silicon oxides or nitrides, to which an image is to be applied by means of image-wise irradiation.

The invention relates also to the use of compounds of formula I or 11 as photolatent acid donors in compositions that can be crosslinked under the action of an acid and/or as dissolution enhancers in compositions wherein the solubility is increased under the action of an acid.

Subject of the invention further is a process of crosslinking compounds that can be cross- linked under the action of an acid, which method comprises adding a compound of formula I or 11 to the above-mentioned compounds and irradiating imagewise or over the whole area with light having a wavelength of 10-1500 nm.

The invention relates also to the use of compounds of formulae I or 11 as photosensitive acid donors in the preparation of surface coatings, printing inks, printing plates, dental composi- tions, colour filters, resists or image-recording materials, or image-recording materials for recording holographic images, as well as to a process for the preparation of surface coatings, printing inks, printing plates, dental compositions, colour filters, resists, image- recording materials, or image-recording materials for recording holographic images, or optical information storage.

Subject of the invention is also the use of compounds of formulae I or 11 as photosensitive acid donors in the preparation of colour filters or chemically amplified resist materials; as well as to a process for the preparation of colour filters or chemically amplified resist materials.

The invention further pertains to a color filter prepared by providing red, green and blue picture elements and a black matrix, all comprising a photosensitive resin and a pigment and/or dye on a transparent substrate and providing a transparent electrode either on the surface of the substrate or on the surface of the color filter layer, wherein said photosensitive resin comprises compounds of formula I or It according to claim 1 as photosensitive acid donors.

The person skilled in the art is aware of suitable pigments or dyes to provide the color elements, as well as the black matrix and corresponding suitable resins, as shown, for example, in JP-A-9-203806, JP-A-10-282650, JP-A-10-333334, JP-A-11-194494, JP-A-10- 203037, JP-A-2003-5371.

As already mentioned above, in photocrosslinkable compositions, sulfonate derivatives act as latent curing catalysts : when irradiated with light they release acid which catalyses the crosslinking reaction. In addition, the acid released by the radiation can, for example, catalyse the removal of suitable acid-sensitive protecting groups from a polymer structure, or the cleavage of polymers containing acid-sensitive groups in the polymer backbone.

Other applications are, for example, colour-change systems based on a change in the pH or in the solubility of, for example, a pigment protected by acid-sensitive protecting groups.

Sulfonate derivatives according to the present invention can also be used to produce so- called"print-out'images when the compound is used together with a colourant that changes colour when the pH changes, as described e. g. in JP Hei 4 328552-A or in US 5237059.

Such color-change systems can be used according to EP 199672 also to monitor goods that are sensitive to heat or radiation.

In addition to a colour change, it is possible during the acid-catalysed deprotection of soluble pigment molecules (as described e. g. in EP 648770, EP 648817 and EP 742255) for the pigment crystals to be precipitated; this can be used in the production of colour filters as described e. g. in EP 654711 or print out images and indicator applications, when the colour of the latent pigment precursor differs from that of the precipitated pigment crystal.

Compositions using pH sensitive dyes or latent pigments in combination with sulfonate derivatives can be used as indicators for electromagnetic radiation, such as gamma radiation, electron beams, UV-or visible light, or simple throw away dosimeters. Especially for light, that is invisible to the human eye, like UV-or IR-light, such dosimeters are of interest.

Finally, sulfonate derivatives that are sparingly soluble in an aqueous-alkaline developer can be rendered soluble in the developer by means of light-induced conversion into the free acid, with the result that they can be used as solubility enhancers in combination with suitable film-forming resins.

Resins which can be crosslinked by acid catalysis and accordingly by the photolatent acids of formula I or Il according to the invention, are, for example, mixtures of polyfunctional al- cohols or hydroxy-group-containing acrylic and polyester resins, or partially hydrolysed poly- vinylacetals or polyvinyl alcohols with polyfunctional acetal derivatives. Under certain condi- tions, for example the acid-catalysed self-condensation of acetal-functionalised resins is al- so possible.

Suitable acid-curable resins in general are all resins whose curing can be accelerated by acid catalysts, such as aminoplasts or phenolic resole resins. These resins are for example melamine, urea, epoxy, phenolic, acrylic, polyester and alkyd resins, but especially mixtures of acrylic, polyester or alkyd resins with a melamine resin. Also induded are modified surfa- ce-coating resins, such as acrylic-modified polyester and alkyd resins. Examples of indivi- dual types of resins that are covered by the expression acrylic, polyester and alkyd resins are described, for example, in Wagner, Sarx, Lackkunstharze (Munich, 1971), pp. 86-123 and pp. 229-238, or in Ullmann, Encyclopadie der techn. Chemie, 4th Ed., Vol. 15 (1978), pp. 613-628, or Ullmann's Encyclopedia of Industrial Chemistry, Veriag Chemie, 1991, Vol.

18, p. 360 ff., Vol. A19, p. 371 ff..

The compounds of the formulae I and 11 according to the present invention release strong acids and therefore also are suitable for curing epoxy resins.

It is possible, for example, to use all customary epoxides, such as aromatic, aliphatic or cycloaliphatic epoxy resins. These are compounds having at least one, preferably at least two, epoxy group (s) in the molecule. Examples thereof are the glycidyl ethers and p-methyl glycidyl ethers of aliphatic or cycloaliphatic diols or polyols, e. g. those of ethylene glycol, propane-1, 2-diol, propane-1, 3-diol, butane-1, 4-diol, diethylene glycol, polyethylene glycol, polypropylene glycol, glycerol, trimethylolpropane or 1, 4-dimethylolcyclohexane or of 2,2- bis (4-hydroxycyclohexyl) propane and N, N-bis (2-hydroxyethyl) aniline ; the glycidyl ethers of di-and poly-phenols, for example of resorcinol, of 4, 4'-dihydroxyphenyl-2, 2-propane, of novolaks or of 1,1, 2,2-tetrakis (4-hydroxyphenyl) ethane. Examples thereof include phenyl glycidyl ether, p-tert-butyl glycidyl ether, o-icresyl glycidyl ether, polytetrahydrofuran glycidyl ether, n-butyl glycidyl ether, 2-ethylhexyl glycidyl ether, Os 5alkyl glycidyl ether and cyclohexanedim@thanol diglycidyl ether. Further examples include N-glycidyl compounds, for example the glycidyl compounds of ethyleneurea, 1, 3-propyleneurea or 5-dimethyl- hydantoin or of 4, 4'-methylene-5, 5'-tetramethyldihydantoin, or compounds such as triglycidyl isocyanurate.

Further examples of glycidyl ether components are, for example, glycidyl ethers of polyhydric phenols obtained by the reaction of polyhydric phenols with an excess of chlorohydrin, such as, for example, epichiorohydrin (e. g. glycidyl ethers of 2,2-bis (2,3- epoxypropoxyphenol) propane. Further examples of glycidyl ether epoxides that can be used in connection with the present invention are described, for example, in US 3 018 262 and in"Handbook of Epoxy Resins"by Lee and Neville, McGraw-Hill Book Co. , New York (1967).

There is also a large number of commercially available glycidyl ether epoxides that are suitable, such as, for example, glycidyl methacrylate, diglycidyl ethers of bisphenol A, for example those obtainable under the trade names EPON 828, EPON 825, EPON 1004 and EPON 1010 (Shell) ; DER-331, DER-332 and DER-334 (Dow Chemical) ; 1, 4-butanediol diglycidyl ethers of phenolformaldehyde novolak, e. g. DEN-431, DEN-438 (Dow Chemical) ; and resorcinol diglycidyl ethers; alkyl glycidyl ethers, such as, for example, Ca-C oglycidyl ethers, e. g. HELOXY Modifier 7, Cr2-C, 4glycidyl ethers, e. g. HELOXY Modifier 8, butyl glycidyl ethers, e. g. HELOXY Modifier 61, cresyl glycidyl ethers, e. g. HELOXY Modifier 62, p-tert-butylphenyl glycidyl ethers, e. g. HELOXY Modifier 65, polyfunctional glycidyl ethers, such as diglycidyl ethers of 1, 4-butanediol, e. g. HELOXY Modifier 67, diglycidyl ethers of neopentyl glycol, e. g. HELOXY Modifier 68, diglycidyl ethers of cyclohexanedimethanol, e. g.

HELOXY Modifier 107, trimethylolethane triglycidyl ethers, e. g. HELOXY Modifier 44, trimethylolpropane triglycidyl ethers, e. g. HELOXY Modifier 48, polyglycidyl ethers of aliphatic polyols, e. g. HELOXY Modifier 84 (all HELOXY glycidyl ethers are obtainable from Shell).

Also suitable are glycidyl ethers that comprise copolymers of acrylic esters, such as, for example, styrene-glycidyl methacrylate or methyl methacrylate-glycidyl acrylate. Examples thereof include 1: 1 styrene/glycidyl methacrylate, 1: 1 methyl methacrylate/glycidyl acrylate, 62.5 : 24: 13.5 methyl methacrylate/ethyl acrylate/glycidyl methacrylate.

The polymers of the glycidyl ether compounds can, for example, also comprise other functionalities provided that these do not impair the curing.

Other glycidyl ether compounds that are commercially available from Ciba Specialty Chemicals are polyfunctional liquid and solid novolak glycidyl ether resins, e. g. PY 307, En1179, EPN 1180, EPN 1182 and ECN 9699.

It will be understood that mixtures of different glycidyl ether compounds may also be used.

The glycidyl ethers are, for example, compounds of formula X x is a number from 1 to 6; and Rso is a mono-to hexa-valent alkyl or aryl radical.

Preference is given, for example, to glycidyl ether compounds of formula X x is the number 1,2 or 3; and Rso when x = 1, is unsubstituted or C1-C12alkyl-substituted phenyl, naphthyl, anthracyl, biphenylyl, C1-C20alkyl, or C2-C20alkyl interrupted by one or more oxygen atoms, or Rso when x = 2, is 1, 3-phenylene, 1, 4-phenylene, C6-C10cycloalkylene, unsubstituted or halo-substituted C1-C40alkylene, C2-C4oalkylene interrupted by one or more oxygen atoms, or a group vReoç, or C2H, Rso when x = 3, is a radical C-C-G-EO-CHz-CH Hz y is ; and 0 0 Roo -C2oalkylene, % The glycidyl ethers are, for example, compounds of formula Xa 0 R,,-0-C--C--CH, (Xa), wherein Ho CH, H2C-EO-CH2-CH (CHR70 is unsubstituted or Ci-C, 2alkyl-substituted phenyl ; naphthyl ; anthracyl ; biphenylyl ; C1-C20alkyl, C2-C20alkyl interrupted by one or more oxygen atoms ; or a group of formula Rso is phenylen, C1-C20alkylene, C2-C20alkylene interrupted by one or more oxygen atoms, or a group R60 is Ci-Czoalkylene or oxygen.

Preference is given to the glycidyl ether compounds of formula Xb R50 is phenylen, C1-C20alkylene, C2-C2oalkylene interrupted by one or more oxygen atoms, or a group R60 is C,-C20alkylene or oxygen.

Further examples are polyglycidyl ethers and poly (p-methylglycidyl) ethers obtainable by the reaction of a compound containing at least two free alcoholic andlor phenolic hydroxy groups per molecule with the appropriate epichlorohydrin under alkaline conditions, or alternatively in the presence of an acid catalyst with subsequent alkali treatment. Mixtures of different polyols may also be used.

Such ethers can be prepared with poly (epichlorohydrin) from acyclic alcohols, such as ethylene glycol, diethylene glycol and higher poly (oxyethylene) glycols, propane-1, 2-diol and poly (oxypropylene) glycols, propane-1, 3-diol, butane-1, 4-diol, poly (oxytetramethylene) glycols, pentane-1, 5-diol, hexane-1, 6-diol, hexane-2, 4., 6-triol, glycerol, 1,1, 1-trimethylol-pro- pane, pentaerythritol and sorbitol, from cycloaliphatic alcohols, such as resorcitol, quinitol, bis (4-hydroxycyclohexyl) methane, 2,2-bis (4-hydroxycyclohexyl) propane and 1, 1-bis- (hydro- xymethyl) cyclohex-3-ene, and from alcohols having aromatic nuclei, such as N, N-bis (2- hydroxyethyl) aniline and p, p'-bis (2-hydroxyethylamino) diphenylmethane. They can also be prepared from mononuclear phenols, such as resorcinol and hydroquinone, and polynuclear phenols, such as bis (44-hydrophenyl) methane, 4., 4-dihydroxydiphenyl, bis (4-hydroxyphen- yl) sulfone, 1,1, 2, 2-tetrakis (4-hydroxyphenyl) ethane, 2, 2-bis (4-hydroxyphenyl)-propane (bis- phenol A) and 2, 2-bis (3, 5-dibromo-4-hydroxyphenyl) propane.

Further hydroxy compounds suitable for the preparation of polyglycidyl ethers and poly (- methylglycidyl) ethers are the novolaks obtainable by the condensation of aldehydes, such as formaldehyde, acetaldehyde, chloral and furfural, with phenols, such as, for example, phenol, o-cresol, m-cresol, p-cresol, 3, 5-dimethylphenol, 4-chlorophenol and 4-tert- butylphenol.

Poly (N-glycidyl) compounds can be obtained, for example, by dehydrochlorination of the reaction products of epichlorohydrin with amines containing at least two aminohydrogen atoms, such as aniline, n-butylamine, bis (4-aminophenyl) methane, bis (4-aminophenyl)- propane, bis (4-methylaminophenyl) methane and bis (4-aminophenyl) ether, sulfone and sulfoxide. Further suitable poly (N-glycidyl) compounds include triglycidyl isocyanurate, and N, N'-diglycidyl derivatives of cyclic alkyleneureas, such as ethyleneurea and 1,3- propyleneurea, and hydantoins, such as, for example, 5, 5-dimethylhydantoin.

Poly (S-glycidyl) compounds are also suitable. Examples thereof include the di-S-glycidyl derivatives of dithiols, such as ethane-1, 2-dithiol and bis (4-mercaptomethylphenyl) ether.

There also come into consideration epoxy resins in which the glycidyl groups or (3-methyl glycidyl groups are bonded to hetero atoms of different types, for example the N, N, O- triglycidyl derivative of 4-aminophenol, the glycidyl ether/glycidyl ester of salicylic acid or p- hydroxybenzoic acid, N-glycidyl-N'- (2-glycidyloxypropyl)-5, 5-dimethyl-hydantoin and 2- glycidyloxy-1, 3-bis (5, 5-dimethyl-1-glycidylhydantoin-3-yl) propane.

Preference is given to diglycidyl ethers of bisphenols. Examples thereof include diglycidyl ethers of bisphenol A, e. g. ARALDIT GY 250 from Ciba Specialty Chemicals, diglycidyl ethers of bisphenol F and diglycidyl ethers of bisphenol S. Special preference is given to di- glycidyl ethers of bisphenol A.

Further glycidyl compounds of technical importance are the glycidyl esters of carboxylic acids, especially di-and poly-carboxylic acids. Examples thereof are the glycidyl esters of succinic acid, adipic acid, azelaic acid, sebacic acid, phthalic acid, terephthalic acid, tetra- and hexa-hydrophthalic acid, isophthalic acid or trimellitic acid, or of dimerised fatty acids.

Examples of polyepoxides that are not glycidyl compounds are the epoxides of vinyl- cyclohexane and dicyclopentadiene, 3- (3', 4'-epoxycyclohexyl)-8, 9-epoxy-2,4-dioxaspiro- [5. 5] undecane, the 3', 4'-epoxycyclohexylmethyl esters of 3, 4-epoxycyclohexanecarboxylic acid, (3, 4-epoxycyclohexyl-methyl 3, 4-epoxycyclohexanecarboxylate), butadiene diepoxide or isoprene dioxide, epoxidised linO@ic acid derivatives or epoxidised polybutadiene.

Further suitable epoxy compounds are, for example, limonen monoxide, epoxidised soybean oil, bisphenol-A and bisphenol-F epoxy resins, such as, for example, Araldit"'GY 250 (A), Araldit@ GY 282 (F), Araidit GY 285 (F) (Ciba Specialty Chemicals), and photocurable siloxane that contain epoxy groups.

Further suitable cationically polymerisable or crosslinkable components can be found, for example, also in US Patent Specifications 3117099, 4299938 and 4339567.

From the group of aliphatic epoxides there are suitable especially the monofunctional a- olefin epoxides having an unbranched chain consisting of 10,12, 14 or 16 carbon atoms.

Because nowadays a large number of different epoxy compounds are commercially available, the properties of the binder can vary widely. One possible variation, for example depending upon the intended use of the composition, is the use of mixtures of different epoxy compounds and the addition of flexibilisers and reactive diluents.

The epoxy resins can be diluted with a solvent to facilitate application, for example when application is effected by spraying, but the epoxy compound is preferably used in the solvent-less state. Resins that are viscous to solid at room temperature can be applied hot.

In coating applications the surface coating preferably comprises an amino resin. Examples thereof are etherified or non-etherified melamine, urea, guanidine or biuret resins. Acid ca- talysis is especially important in the curing of surface coatings comprising etherified amino resins, such as methylated or butylated melamine resins (N-methoxymethyl-or N-butoxyme- thyl-melamine) or methylated/butylated glycolurils. Examples of other resin compositions are mixtures of polyfunctional alcohols or hydroxy-group-containing acrylic and polyester resins, or partially hydrolyse polyvinyl acetate or polyvinyl alcohol with polyfunctional dihydropropanyl derivatives, such as derivatives of 3, 4-dihydro-2H-pyran-2-carboxylic acid.

Polysiloxanes can also be crosslinked using acid catalysis. These siloxane group- containing resins can, for example, either undergo self-condensation by means of acid- catalysed hydrolysis or be crosslinked with a second component of the resin, such as a polyfunctional alcohol, a hydroxy-group-containing acrylic or polyester resin, a partially hydrolyse polyvinyl acetal or a polyvinyl alcohol. This type of polycondensation of polysiloxanes is described, for example, in J. J. Lebrun, H. Pode, Comprehensive Polymer Science, Vol. 5, p. 593, Pergamon Press, Oxford, 1989. Other cationically polymerisable materials that are suitable for the preparation of surface coatings are ethylenically unsaturated compounds polymerisable by a cationic mechanism, such as vinyl ethers, for example methyl vinyl ether, isobutyl vinyl ether, trimethyiotpropane triviny) ether, ethylene glycol divinyl ether; cyclic vinyl ethers, for example 3, 4-dihydro-2-formyl-2H-pyran (dimeric acrolein) or the 3, 4-dihydro-2H-pyran-2-carboxylic acid ester of 2-hydroxymethyl-3, 4- dihydro-2H-pyran; vinyl esters, such as vinyl acetate and vinyl stearate, mono-and di- olefins, such as a-methylstyrene, N-vinylpyrrolidone or N-vinylcarbazole.

For certain purposes, resin mixtures having monomeric or oligomeric constituents contain- ing polymerisable unsaturated groups are used. Such surface coatings can also be cured using compounds of formula) or)). in that process, radical polymerisation initiators or pho- toinitiators can additionally be used. The former initiate polymerisation of the unsaturated groups during heat treatment, the latter during UV irradiation.

The invention also relates to a composition comprising (a) a compound which cures upon the action of an acid or a compound whose solubility is increased upon the action of an acid; and (b) as photosensitive acid donor, at least one compound of the formula I or II as described above.

The compounds of formulae I or 11 respectively, are generally added to the compositions in an amount from 0.1 to 30 % by weight, for example from 0.5 to 10 % by weight, especially from 1 to 5 % by weight.

According to the invention, the compounds of formula I or fi can be used together with further photosensitive acid donor compounds (b1), further photoinitiators (d), sensitisers (e) and/or additives (c).

Suitable photosensitive acid donor compounds (b1), sensitizers (e) and addtives (c) are described above.

Examples of additional photoinitiators (d) are radical photoinitiators, such as those from the class of the benzophenones, acetophenone derivatives, such as a-hydroxycycloalleylphenyl ketone, dialkoxyacetophenone, a-hydroxy-or a-amino-acetophenone, 4-aryl-1, 3-diox- olans, benzoin alkyl ethers and benzil ketals, phenylglyoxalates, dimeric phenylglyoxalates, monoacylphosphine oxides, bisacylphosphine oxides or titanocenes. Examples of especially suitable additional photoinitiators are : 1-(4-dodecylbenzoyl)-1-hydroxy-1-methyl- ethane, 1- (4-isopropylbenzoyl)-1-hydroxy-1-methyl-ethane, 1-benzoyl-1-hydrory-1-methyl- ethane, 1-[4-(2-hydroxyethoxy)-benzoyl]-1-hydroxy-1-methyl-ethane, 1-[4-(acryloyloxyleth- oxy)-benzoyl]-1-hydroxy-1-methyl-ethane, diphenyl ketone, phenyl-1-hydroxy-cydohexyl ketone, (4-morpholinobenzoyi)-1-benzyl-1-dimethylamino-propane, (4-morpholinobenzoyl)- <BR> <BR> <BR> 1- (4-methylbenzyl)-1-dimethylamino-propane, 1- (3, 4-dimethoxyphenyl)-2-benzyl-2-dimethyl- <BR> <BR> <BR> <BR> <BR> amino-butan-1-one, (4-methylthiobenzoyl)-1-methyl-1-morpholino-ethane, benzil dimethyl ketal, bis (cyclopentadienyl)-bis (2, 6-difluoro-3-pyrryl-phenyi) titanium, 5,5'- oxodi (ethyleneoxydicarbonylphenyl), trimethylbenzoyldiphenylphosphine oxide, trimethyl- benzoylphenylethoxy-phosphine oxide bis (2, 6-dimethoxy-benzoyl)- (2, 4, 4-trimethyl-pentyl)- phosphine oxide, bis (2,4, 6-trimethylbenzoyl)-2, 4-dipentyloxyphenyl-phosphine oxide or bis- (2, 4, 6-trimethylbenzoyl) phenyl-phosphine oxide. Further suitable additional photoinitiators are to be found in US 4950581, column 20, line 35 to column 21, line 35. Other examples are trihalomethyltriazine derivatives or hexaarylbisimidazolyl compounds. Further examples for additional photoinitiators are borate compounds, as for example described in US 4772530, EP 775706, GB 2307474, GB 2307473 and GB 2304472. The borate compounds preferably are used in combination with electron acceptor compounds, such as, for example dye cations, or thioxanthone derivatives.

Further examples of additional photoinitiators are peroxide compounds, e. g. benzoyl peroxi- de (other suitable peroxides are described in US 4950581, col. 19, I. 17-25) or cationic pho- toinitiators, such as aromatic sulfonium or iodonium salts, such as those to be found in US 4950581, col. 18, I. 60 to col. 19, I. 10, or cyclopentadienyl-arene-iron (ii) complex salts, for example (rl-isopropylbenzene) (r15-cyclopentadienyl)-iron (II) hexafluorophosphate.

The surface coatings may be solutions or dispersions of the surface-coating resin in an or- ganic solvent or in water, but they may also be solventless. Of special interest are surface coatings having a low solvent content, so-called"high solids surface coatings", and powder coating compositions. The surface coatings may be clear lacquers, as used, for example, in the automobile industry as finishing lacquers for multilayer coatings. They may also compri- se pigments and/or fillers, which may be inorganic or organic compounds, and metal pow- ders for metal effect finishes.

The surface coatings may also comprise relatively small amounts of special additives custo- mary in surface-coating technology, for example flow improvers, thixotropic agents, leveling agents, antifoaming agents, wetting agents, adhesion promoters, light stabilisers, antioxi- dants, or sensitisers.

UV absorbers, such as those of the hydroxyphenyl-benzotriazole, hydroxyphenyl-benzophe- none, oxalic acid amide or hydroxyphenyl-s-triazine type may be added to the compositions according to the invention as light stabilisers. Individual compounds or mixtures of those compounds can be used with or without the addition of sterically hindered amines (HALS).

Examples of such UV absorbers and light stabilisers are 1. 2-(2'-Hvdroxyphenyì)-benzotriazoles, such as 2-(2'-hydroxy-5'-methylphenyl)-benzotria- zole, 2- (3', 5'-di-tert-butyl-2'-hydroxyphenyl)-benzotriazole, 2- (5'-tert-butyl-2'-hydroxyphenyl)- benzotriazole, 2- (2'-hydroxy-5'- (1, 1,3, 3-tetramethylbutyl) phenyl)-benzotriazole, 2- (3', 5'-di-t- <BR> <BR> <BR> butyl-2'-hydroxyphenyl)-5-chloro-benzotriazole, 2- (3'-tert-butyl-2'-hydroxy-5'-methylphenyl)- <BR> <BR> <BR> <BR> 5-chloro-benzotriazole, 2- (3'-sec-butyl-5'-tert-butyl-2'-hydroxyphenyl)-benzotriazole, 2- (2'-hy- droxy-4'-octyloxyphenyl)-benzotriazole, 2- (3', 5'-di-tert-amyl-2'-hydroxyphenyl)-benzotriazole, 2- (3', 5'-bis- (a, a-dimethylbenzyl)-2'-hydroxyphenyl)-benzotriazole, mixture of 2- (3'-tert-butyl- <BR> <BR> <BR> 2'-hydroxy-5'- (2-octyloxycarbonylethyl) phenyl)-5-chloro-benzotriazole, 2- (3'-tert-butyl-5'- [2- <BR> <BR> <BR> <BR> (2-ethyl-hexyloxy)-carbonylethyl]-2'-hydroxyphenyl)-5-chloro -benzotriazole, 2- (3'-tert-butyl- 2'-hydroxy-5'- (2-methoxycarbonylethyl) phenyl)-5-chloro-benzotriazole, 2 (3'-tert-butyl-2'-hy- <BR> <BR> <BR> droxy-5'- (2-methoxycarbonylethyl) phenyl)-benzotriazole, 2- (3'-tert-butyl-2'-hydroxy-5'- (2-oc- <BR> <BR> <BR> <BR> tyloxycarbonylethyl) phenyl)-benzotriazole, 2- (3'-tert-butyl-5'- [2- (2-ethylhexyloxy) carbonyl- <BR> <BR> <BR> <BR> ethyl]-2'-hydroxyphenyl)-benzotriazole, 2- (3'-dodecyl-2'-hydroxy-5'-methylphenyl)-benzo- triazole and 2- (3'-tert-butyl-2'-hydroxy-5'- (2-isooctyloxycarbonylethyl) phenyl-benzotriazole, 2, 2'-methylene-bis [4- (1, 1,3, 3-tetramethylbutyl)-6-benzotriazol-2-yl-phenol] ; transesterifi- cation product of 2- [3'-tert-butyl-5'- (2-methoxycarbonylethyl)-2'-hydroxy-phenyl]-benzotri- azole with polyethylene glycol 300; [R-CH2CH2-COO (CH2)3]2- wherein R = 3'-tert-butyl-4'- hydroxy-5'-2H-benzotriazol-2-yl-phenyl.

2. 2-Hvdroxybenzophenones, such as the 4-hydroxy, 4-methoxy, 4-octyloxy, 4-decyloxy, 4- dodecyloxy, 4-benzyloxy, 4, 2', 4'-trihydroxy or 2'-hydroxy-4, 4'-dimethoxy derivative.

3. Esters of unsubstituted or substituted benzoic acids, such as 4-tert-butyl-phenyl salicylate, phenyl salicylate, octylphenyl salicylate, dibenzoylresorcinol, bis (4-tert-butylben- zoyl) resorcinol, benzoylresorcinol, 3, 5-di-tert-butyl-4-hydroxybenzoic acid 2, 4-di-tert- butylphenyl ester, 3, 5-di-tert-butyl-4-hydroxybenzoic acid hexadecyl ester, 3, 5-di-tert-butyl- 4-hydroxybenzoic acid octadecyl ester, 3, 5-di-tert-butyl-4-hydroxybenzoic acid 2-methyl-4,6- di-tert-butylphenyl ester.

4. AcrYlates, such as a-cyano-b, b-diphenylacrytic acid ethyl ester or isooctyl ester, a-carbo- methoxy-cinnamic acid methyl ester, a-cyano-b-methyl-p-methoxy-cinnamic acid methyl es- ter or butyl ester, a-carbomethoxy-p-methoxy-cinnamic acid methyl ester, N- (b-carbometh- oxy-b-cyanovinyl)-2-methyl-indoline.

5. Sterically hindered amines, such as bis (2,2, 6, 6-tetramethyl-piperidyl) sebacate, bis (2,2, 6,- 6-tetramethyl-piperidyl) succinate, bis (1,2, 2,6, 6-pentamethylpiperidyl) sebacate, n-butyl-3, 5- di-tert-butyl-4-hydroxybenzyl-malonic acid bis (1,2, 2,6, 6-pentamethylpiperidyl) ester, conden- sation product of 1-hydroxyethyl-2, 2,6, 6-tertramethyl-4-hydroxypiperidine and succinic acid, condensation product of N, N'-bis (2,2, 6, 6-tetramethyl-4-piperidyl) hexamethylenediamine and 4-tert-octylamino-2, 6-dichloro-1, 3, 5-s-triazine, tris (2,2, 6, 6-tetramethyl-4-piperidyl) nitrilotriac- etate, tetrakis (2,2, 6, 6-tetramethyl-4-piperidyl)-1, 2,3, 4-butanetetraoate, 1, 1'- (1, 2-ethanediyl)- bis (3,3, 5, 5-tetramethyl-piperazinone), 4-benzoyl-2, 2, 6, 6-tetramethylpiperidine, 4-stearyloxy- 2,2, 6, 6-tetramethylpiperidine, bis (1,2, 2,6, 6-pentamethylpiperidyl)-2-n-butyl-2- (2-hydroxy-3, 5- di-tert-butylbenzyl) malonate, 3-n-octyl-7, 7,9, 9-tetramethyl-1, 3,8-triazaspiro [4.5] decane-2,4- dione, bis (1-octyloxy-2, 2,6, 6-tetramethylpiperidyl) sebacate, bis (1-octyloxy-2, 2,6, 6-tetrame- thylpiperidyl) succinate, condensation product of N, N'-bis (2,2, 6, 6-tetra-methyl-4-piperidyl)- hexamethylenediamine and 4-morpholino-2, 6-dichloro-1, 3,5-triazine, condensation product of 2-chloro-4, 6-di (4-n-butylamino-2, 2,6, 6-tetramethylpiperidyl)-1, 3, 5-triazine and 1,2-bis (3- aminopropylamino) ethane, condensation product of 2-chloro4, 6-di (4-n-butylamino- 1,2, 2,6, 6-pentamethylpiperidyl)-1, 3,5-triazine and 1,2-bis (3-aminopropylamino) ethane, 8-a- cetyl-3-dodecyl-7, 7,9, 9-tetramethyl-1, 3, 8-triazaspiro [4.5] decane-2,4-dione, 3-dodecyl-1-(2,- 2,6, 6-tetramethyl-4-piperidyl)pyrrolidine-2, 5-dione, 3-dodecyl-1-(1, 2,2, 6, 6-pentamethyl-4-pi- peridyl)-pyrrolidine-2, 5-dione.

6. Oxalic acid diamides, such as 4, 4'-dioctyloxy-oxanilide, 2, 2'-diethoxy-oxanilide, 2, 2'-di-oc- tyloxy-5, 5'-di-tert-butyl-oxanilide, 2, 2'-didodecyloxy-5,5'-di-tert-butyl-oxanilide, 2-ethoxy-2'- ethyl-oxanilide, N, N'-bis (3-dimethylaminopropyl) oxalamide, 2-ethoxy-5-tert-butyl-2'-ethyloxa- nilide and a mixture thereof with 2-ethosy-2'-ethyl-5, 4'-di-tert-butyl-oxanilide, mixtures of o- and p-methoxy-and of o-and p-ethoxy-di-substituted oxanilides.

7. 2-(2-Hydroxvphenyl)-1. 3. 5-triazines, such as 2, 4, 6-tris (2-hydroxy-4-octyloxyphenyl)-1, 3,5- triazine, 2- (2-hydroxy-4-octyloxyphenyl)-4, 6-bis (2, 4-dimethylphenyl)-1, 3,5-triazine, 2- (2, 4-di- hydroxyphenyl)-4, 6-bis (2, 4-dimethylphenyl)-1, 3, 5-triazine, 2,4-bis (2-hydroxy-4-propyloxy- phenyl)-6- (2, 4-dimethylphenyl)-1, 3, 5-triazine, 2- (2-hydroxy-4-octyloxyphenyl)-4, 6-bis (4-me- thylphenyl)-1,3,5-triazine, 2-(2-hydroxy-4-dodecyloxyphenyl)-4,6-bis (2, 4.-dimethylphenyl) - 1, 3, 5-triazine, 2-r2-hydroxy4-(2-hydroxy-3-butyloOxy-propyloxy) phenyl] 4, 6-bis (2, 4-dimethyl- phenyl)-1, 3,5-triazine, 2- [2-hydroxy-4- (2-hydroxy-3-octyloxy-propyloxy) phenyl]-4, 6-bis (2,4- dimethylphenyl)-1, 3,5-triazine, 2- [4-dodecyl-/tridecyl-oxy- (2-hydroxypropyl) oxy-2-hydroxy- phenyl]-4, 6-bis (2, 4-dimethylphenyl)-1, 3, 5-triazine.

8. Phosphites and phosphonites, such as triphenyl phosphite, diphenyl alkyl phosphites, phenyl dialkyl phosphites, tris (nonylphenyl) phosphite, trilauryl phosphite, trioctadecyl phosphite, distearyl-pentaerythritol diphosphite, tris (2, 4-di-tert-butylphenyl) phosphite, diiso- decylpentaerythritol diphosphite, bis (2, 4-di-tert-butylphenyl) pentaerythritol diphosphite, bis (2, 6-di-tert-butyl-4-methylphenyl) pentaerythritol diphosphite, bis-isodecyloxy-penta- erythritol diphosphite, bis (2, 4-di-tert-butyl-6-methylphenyl) pentaerythritol diphosphite, bis- (2,4, 6-tri-tert-butylphenyl) pentaerythritol diphosphite, tristearyl-sorbitol triphosphite, tetrakis- (2, 4-di-tert-butylphenyl)-4, 4'-biphenylene diphosphonite, 6-isooctyloxy-2, 4,8, 10-tetra-tert- butyl-12H-dibenzo [d, g] -1, 3,2-dioxaphosphocine, 6-fluoro-2, 4,8, 10-tetra-tert-butyl-12-methyl- dibenzo [d, g] -1, 3, 2-dioxaphosphocine, bis (2, 4-di-tert-butyl-6-methylphenyl) methyl phosphite, bis (2, 4-di-tert-butyl-6-methylphenyl) ethyl phosphite.

Such light stabilisers can also be added, for example, to an adjacent surface-coating layer from which they gradually diffuse into the layer of stoving lacquer to be protected. The ad- jacent surface-coating layer may be a primer under the stoving lacquer or a finishing lacquer over the stoving lacquer.

It is also possible to add to the resin, for example, photosensitisers which shift or increase the spectral sensitivity so that the irradiation period can be reduced and/or other light sour- ces can be used. Examples of photosensitisers are aromatic ketones or aromatic alde- hydes (as described, for example, in US 4017652), 3-acyl-coumarins (as described, for ex- ample, in US 4366228, EP 738928, EP 22188), keto-coumarines (as described e. g. in US 5534633, EP 538997, JP 8272095-A), styryl-coumarines (as described e. g. in EP 624580), 3- (aroylmethylene)-thiazolines, thioxanthones, condensed aromatic compounds, such as perylene, aromatic amines (as described, for example, in US 4069954 or WO 96/41237) or cationic and basic colourants (as described, for example, in US 4026705), for example eosine, rhodanine and erythrosine colourants, as well as dyes and pigments as described for example in JP 8320551-A, EP 747771, JP 7036179-A, EP 619520, JP 6161109-A, JP 6043641, JP 6035198-A, WO 93/1S440, EP 568993, JP 5005005-A, JP 5027432-A, JP 5301910-A, JP 4014083-A, JP 4294148-A, EP 359431, EP 103294, US 4282309, EP 39025, EP 5274, EP 727713, EP 726497 or DE 2027467.

Other customary additives are-depending on the intended use-optical brighteners, fillers, pigments, colourants, wetting agents or flow improvers and adhesion promoters.

For curing thick and pigmented coatings, the addition of micro glass beads or powdered glass fibres, as described in US 5013768, is suitable.

Sulfonate derivatives can also be used, for example, in hybrid systems. These systems are based on formulations that are fully cured by two different reaction mechanisms. Examples thereof are systems that comprise components that are capable of undergoing an acid-ca- talysed crosslinking reaction or polymerisation reaction, but that also comprise further com- ponents that crosslink by a second mechanism. Examples of the second mechanism are radical full cure, oxidative crosslinking or humidity-initiated crosslinking. The second curing mechanism may be initiated purely thermally, if necessary with a suitable catalyst, or also by means of light using a second photoinitiator. Suitable additional photoinitiators are described above.

If the composition comprises a radically crosslinkable component, the curing process, espe- cially of compositions that are pigmented (for example with titanium dioxide), can also be assisted by the addition of a component that is radical-forming under thermal conditions, such as an azo compound, for example 2,2'-azobis (4-methoxy-2, 4-dimethylvaleronitrile), a triazene, a diazosulfide, a pentazadiene or a peroxy compound, such as, for example, a hy- droperoxide or peroxycarbonate, for example tert-butyl hydroperoxide, as described, for ex- ample, in EP 245639. The addition of redox initiators, such as cobalt salts, enables the cur- ing to be assisted by oxidative crosslinking with oxygen from the air.

The surface coating can be applied by one of the methods customary in the art, for example by spraying, painting or immersion. When suitable surface coatings are used, electrical ap- plication, for example by anodic electrophoretic deposition, is also possible. After drying, the surface coating film is irradiated. If necessary, the surface coating film is then fully cured by means of heat treatment.

The compounds of formulae I or 11 can also be used for curing mouldings made from com- posites. A composite consists of a self-supporting matrix material, for example a glass fibre fabric, impregnated with the photocuring formulation.

It is known from EP 592139 that sulfonate derivatives can be used as acid generators, which can be activated by light in compositions that are suitable for the surface treatment and cleaning of glass, aluminium and steel surfaces. The use of such compounds in organosilane systems results in compositions that have significantly better storage stability than those obtained when the free acid is used. The compounds of formula I or II are also suitable for this application.

The sulfonate derivatives of the present invention can also be used to shape polymers that undergo an acid induced transition into a state where they have the required properties using photolithography. For instance the sulfonate derivatives can be used to pattern conjugated emissive polymers as described, for example, in M. L. Renak; C. Bazan; D.

Roitman ; Advanced materials 1997,9, 392. Such patterned emissive polymers can be used to manufacture microscalar patterned Light Emitting Diodes (LED) which can be used to manufacture displays and data storage media. In a similar way precursors for polyimides (e. g. polyimid precursors with acid labile protecting groups that change solubility in the developer) can be irradiated to form patterned polyimide layers which can serve as protective coatings, insulating layers and buffer layers in the production of microchips and printed circuit boards.

The formulations of the invention may also be used as conformal coatings, photoimagable insulating layers and dielectrics as they are used in sequential build up systems for printed circuit boards, stress buffer layers in the manufacturing of integrated circuits.

It is known that conjugated polymers like, e. g. polyanilines can be converted from semicon- ductive to conductive state by means of proton doping. The sulfonate derivatives of the present invention can also be used to imagewise irradiate compositions comprising such conjugated polymers in order to form conducting structures (exposed areas) embedded in insulating material (non exposed areas). These materials can be used as wiring and connecting parts for the production of electric and electronic devices.

Suitable radiation sources for the compositions comprising compounds of formula I or 11 are radiation sources that emit radiation of a wavelength of approximately from 150 to 1500, for example from 180 to 1000, or preferably from 190 to 700 nanometers as well as e-beam radiation and high-energy electromagnetic radiation such as X-rays. Both, point sources and planiform projectors (lamp carpets) are suitable. Examples are: carbon arc lamps, xenon arc lamps, medium pressure, high pressure and low pressure mercury lamps, optionally doped with metal halides (metal halide lamps), microwave-excited metal vapour lamps, excimer lamps, superactinic fluorescent tubes, fluorescent lamps, argon filament lamps, electronic flash lamps, photographic flood lights, electron beams and X-ray beams generated by means of synchrotrons or laser plasma. The distance between the radiation source and the substrate according to the invention to be irradiated can vary, for example, from 2 cm to 150 cm, according to the intended use and the type and/or strength of the radiation source. Suitable radiaiton sources are especially mercury vapour lamps, especially medium and high pressure mercury lamps, from the radiation of which emission lines at other wavelengths can, if desired, be filtered out. That is especially the case for relatively short wavelength radiation. It is, however, also possible to use low energy lamps (for example fluorescent tubes) that are capable of emitting in the appropriate wavelength range. An example thereof is the Philips TL03 lamp. Another type of radiation source that can be used are the light emitting diodes (LED) that emitt at different wavelengths throughout the whole spectrum either as small band emitting source or as broad band (white light) source. Also suitable are laser radiation sources, for example excimer lasers, such as Kr-F lasers for irradiation at 248 nm, Ar-F lasers at 193 nm, or Fa laser at 157 nm.

Lasers in the visible range and in the infrared range can also be used. Especially suitable is radiation of the mercury i, h and g lines at wavelengths of 365,405 and 436 nanometers.

As a light source further EUV (Extreme Ultra Violet) at 13 nm is also suitable. A suitable laser-beam source is, for example, the argon-ion laser, which emits radiation at wavelengths of 454,458, 466, 472, 478,488 and 514 nanometers. Nd-YAG-lasers emitting light at 1064 nm and its second and third harmonic (532 nm and 355 nm respectively) can also be used. Also suitable is, for example, a helium/cadmium laser having an emission at 442 nm or lasers that emit in the UV range. With that type of irradiation, it is not absolutely essential to use a photomask in contact with the photopolymeric coating to produce a positive or negative resist; the controlled laser beam is capable of writing directly onto the coating. For that purpose the high sensitivity of the materials according to the invention is very advantageous, allowing high writing speeds at relatively low intensities. On irradiation, the sulfonate derivatives in the composition in the irradiated sections of the surface coating decompose to form the acids.

In contrast to customary UV curing with high-intensity radiation, with the compounds accor- ding to the invention activation is achieved under the action of radiation of relatively low in- tensity. Such radiation includes, for example, daylight (sunlight), and radiation sources equivalent to daylight. Sunlight differs in spectral composition and intensity from the light of the artificial radiation sources customarily used in UV curing. The absorption characteristics of the compounds according to the invention are as well suitable for exploiting sunlight as a natural source of radiation for curing. Daylight-equivalent artificial light sources that can be used to activate the compounds according to the invention are to be understood as being projectors of low intensity, such as certain fluorescent lamps, for example the Philips TL05 special fluorescent lamp or the Philips TL09 special fluorescent lamp. Lamps having a high daylight content and daylight itself are especially capable of curing the surface of a surface- coating layer satisfactorily in a tack-free manner. In that case expensive curing apparatus is superfluous and the compositions can be used especially for exterior finishes. Curing with daylight or daylight-equivalent light sources is an energy-saving method and prevents emis- sions of volatile organic components in exterior applications. In contrast to the conveyor belt method, which is suitable for flat components, daylight curing can also be used for exterior finishes on static or fixed articles and structures.

The surface coating to be cured can be exposed directly to sunlight or daylight-equivalent light sources. The curing can, however, also take place behind a transparent layer (e. g. a pane of glass or a sheet of plastics).

The examples which follow illustrate the invention in more detail. Parts and percentages are, as in the remainder of the description and in the claims, by weight, unless stated otherwise. Where alkyl radicals having more than three carbon atoms are referred to without any mention of specific isomers, the n-isomers are meant in each case.

Example 1 : 10 g (109 mmol) of toluene are added to 70 ml of CH2CI2 and cooled by ice bath. To the solution are added 15. 9 g (119 mmol) of AICI3, followed by dropwise addition of 27. 8 9 (119 mmol) of heptafluorobutyryl chloride. The reaction mixture is stirred at room temperature overnight, poured into ice wter, and extracted with CH2CI2. The organic phase is washed with water, dried over MgSO4, and concentrated. The crude product is used in the next step witout further purification. The structure is confirmed by the'H-NMR spectnum (CDCb). 6 [ppm] : 2. 43 (s, 3H), 7.31 (d, 2H), 7. 96 (d, 2H).

29 g (101 mmol) of the compound of example 1.1 are dissolved in 150 ml of ethanol. To the solution are added 25.2 g (363 mmol) of hydroxylammonium chloride and 71.7 g (906 mmol) of pyridine. The reaction mixture is refluxed overnight, and the solvent is distilled off by a rotary evaporator. The residue is poured into water, and extracted with ethyl acetate. The organic phase is washed with potassium hydrogen sulfate aqueous solution, water, brine, and is dried over MgS04. After the MgS04 is removed by filtration, 1.02 g of conc. HCI is added to the solution and stirred at room temperature overnight. The reaction mixture is washed with water and brine, dried over MgS04, and concentrated. The residue is purified by by flash chromatography on silica gel with ethyl acetate and hexane (1 : 9) as eluent, yielding 15.4 g (50.8 mmol ; 50 %) of the title compound of example 1.2 as a brown solid. The structure is confirmed by the'H-NMR spectrum (CDCI3). 8 [ppm]: 2.40 (s, 3H), 7.24-7. 32 (m, 4H), 8.63 (s, 1H). The spectrum indicates that the compound is a single isomer, which is tentatively assigned as E-configuration.

5.0 g (16. 5 mmol) of the compound of example 1. 2 are dissolved in 45 ml of chlorobenzene and cooled in an ice bath. To the solution are added 2.66 g (24. 8 mmol) of 2, 6-lutidine, followed by dropwise addition of 5.59 g (19.8 mmol) of trifluoromethanesulfonic anhydride dissolved in 5 mi of chlorobenzene. The reaction mixture is stirred for 3. 5 hours at 0°C, poured into ice water, and extracted with toluene. The organic phase is washed with 1N HCI and water, dried over MgS04, and concentrated. The residue is purified by flash chro- matography on silica gel with ethyl acetate and hexane (1: 3) as eluent, yielding 6. 2 g (14.2 mmol ; 86 %) of the tiltle compound of example 1.3 as an orange liquid. The structure is confirmed by the tH-NMR and 19F-NMR spectrum (CDCI3) [ppm]: 2.43 (s, 3H), 7. 26 (d, 2H), 7. 34 (d, 2H), -124. 81 (s, 2F),-111. 08 (s, 2F),-80. 63 (s, 3F),-71. 65 (s, 3F). The spectrum indicates that the compound is a single isomer, which is tentatively assigned as E- configuration.

Example 2 : 2.52 g (27.4 mmol) of toluene and 3.35 g (27.4 mmol) of N, N-dimethylpyridine (DMAP) are mixed into 20 ml of CH2C12 and cooled by ice bath. To the solution are added dropwise 10.0 g (27.4 mmol) of 7H-dodecafluoroheptanoyl chloride dissolved in 5 ml of CH2CI2, followed by adding 9.13 g (68. 5 mmol) of AICI3 by portions. The reaction mixture is stirred at room temperature overnight, poured into ice water, and extracted with CH2C12. The organic phase is washed with water, dried over MgS04, and concentrated. The crude product is used in the next step witout further purification. The structure is confirmed by the 1H-NMR spectrum (CDCb). b [ppm] : 2. 45 (s, 3H), 5.90-6. 21 (m, 1H), 7.33 (d, 2H), 7.97 (d, 2H).

5.0 g (11.9 mmol) of the compound of example 2.1 are dissolved in 25 ml of ethanol. To the solution are added 0. 96 g (14. 3 mmol) of hydroxylammonium chloride and 2. 73 g (35.7 mmol) of pyridine. The reaction mixture is refluxed overnight, and the solvent is distilled off by a rotary evaporator. The residue is poured into water, and extracted with ethyl acetate. The organic phase is washed with potassium hydrogen sulfate aqueous solution, water, brine, and is dried over MgS04. After the MgS04 is removed by filtration, 0.12 g of conc. HGI is added to the solution and stirred at room temperature overnight. The reaction mixture is washed with water and brine, dried over MgS04, and concentrated. The residue is purified by flash chromatography on silica gel with ethyl acetate and hexane (1: 9) as eluent, yielding 2.11 g (4.83 mmol ; 41 %) of the title compound of example 1.2 as an orange solid with a melting point of 96-98°C. The structure is confirmed by the'H-NMR spectrum and'9F-NMR spectrum (CDCI3). a [ppm]: 2.40 (s, 3H), 6.04 (tt, 1H), 7. 25-7. 30 (m, 4H), 8.34 (s, 1H), -137. 56 (d, 2F), -129. 99 (s, 2F), -123. 93 (s, 2F), -121. 72 (s, 2F), -120. 51 (s, 2F),-110. 47 (m, 2F). The spectrum indicates that the compound is a single isomer, which is tentatively assigned as E-configuration.

2.1 g (4.83 mmol) of the compound of example 2.2 are dissolved in 25 ml of chlorobenzene and cooled in an ice bath. To the solution are added 0.75 g (7.25 mmoi) of 2, 6-lutidine, followed by dropwise addition of 1.58 g (5.80 mmol) of trifluoromethanesulfonic anhydride dissolved in 5 mi of chlorobenzene. The reaction mixture is stirred for 2.5 hours at 0°C, poured into ice water, and extracted with toluene. The organic phase is washed with 1 N HCI and water, dried over MgS04, and concentrated. The residue is purified by flash chromato- graphy on silica gel with ethyl acetate and hexane (1: 9) as eluent, yielding 2.32 g (4.09 mmol ; 85 %) of the title compound of example 2.3 as a brown liquid. The structure is confirmed by the H-NMR and 19F-NMR spectrum (CDC13). 8 [ppm]: 2.43 (s, 3H), 6.02 (tt, 1H), 7.26 (d, 2H), 7.33 (d, 2H),-137. 55 (d, 2F),-129. 88 (s, 2F), -123. 92 (s, 2F), -121. 63 (s, 2F),-120. 35 (s, 2F),-110. 26 (s, 2F), -71. 60 (s, 3F). The spectrum indicates that the compound is a single isomer, which is tentatively assigned as E-configuration.

Examples 3-17: The compounds of examples 3 to 17 are obtained according to the method described in examples 1 or 2, using the corresponding educts. The structures and physical data of intermediates and products are listed in table 1.

Table 1 Ex. Structure Purification, Purification, Physical o Recrystallization from Chromatography (ethyl t4-0-S-CF, hexane acetate : hexane = 1 : 9) 3 o----c o'H-NMR 8 [ppm] : 3. 85 (s, 3H), (CDCI3) ; 6. 99 (d, 2H), 7. 40 (d, 8 [ppm] : 3. 87 (s, 3H), 2H), 8. 11 (s, 1H), 7. 02 (d, 2H), 7. 41 (d, 2H), tentatively assigned as-112. 35 (s, 2F),-81. 58 (s, E-configuration ; 3F),-71. 53 (s, 3F) ; White solid, mp : 59-tentatively assigned as E- 64°C configuration Yellow liquid 8 Chromatography (ethyl Chromatography (ethyl N-0-s-cF, : hexane = 1 : 9) acetate : hexane = 1 : 9) 4 CH, 8 : 3. 85 (s, 3H), (CDCI3). 6. 99 (d, 2H), 7. 39 (d, 8 [ppm] : 3. 88 (s, 3H), 2H), 9. 05 (br s, 1 H), 7. 03 (d, 2H), 7. 37 (d, 2H), tentatively assigned as-124. 76 (s, 2F),-110. 69 E-configuration (s, 2F),-80. 52 (s, 3F),- Yellow liquid 71. (s, 3F), tentatively assigned as E- configuration Yellow liquid Chromatography N-O-5-CF acetate : hexane = 1 : 9) acetate : hexane = 1 : 9) 5 CH30tC (CF2ja H 8 : 3. 86 (s, 3H), (CDCI3). 6. 04 (tt, 1H), 6. 98 (d, 8 [ppm] : 3. 88 (s, 3H), 2H), 7. 37 (d, 2H), 8. 50 6. 03 (tt, 1H), 7. 01 (d, 2H), (brs, 36 (d, 2H),-137. 60 (d, tentatively assigned as 2F),-129. 92 (s, 2F), E-configuration-123. 93 (s, 2F),-121. 63 White solid, mp : 79- (s, 2F),-120. 39 (s, 2F), 81°C-109. 97 (s, 2F),-71. 70 (s, 3F), tentatively assigned as E-configuration Yellow Ex. Structure Purification, Purification, Physical Recrystallization from Chromatography (ethyl o toluene acetate : hexane : 9) 6 w H-NMR (CDC13).'H-NMR C3F7 [ppm] : 7. 35-7. 72 (m, (CDCb). 3 9H), 8. 39 (s, 1H), [ppm] : 7. 40-7. 52 (m, tentatively assigned as 5H), 7. 62 (d, 2H), 7. 74 (d, E-configuration 2H),-124. 61 (s, 2F), Yellow solid,-110. 77 (s, 2F),-80. 42 (s, mp : 125-129°C 3F),-71. 36 (s, 3F), tentatively assigned as E- configuration Yellow solid, mp : 36-38°C o Recrystallization from Chromatography (ethyl N-O-S-CF n toluene acetate : hexane=1 : 20) 'H-NMR 3 8 [ppm] : 7. 02-7. 10 (m, (CDCI3) 4H), 7. 18 (t, 1H), 7. 35- : 7. 05-7. 13 (m, 7. (m, 4H), 8. 37 (s, 4H), 7. 24 (t, 1H), 7. 35 (d, 1H), 2H), 7. 43 (t, 2H),-124. 67 tentatively assigned as (s, 2F),-110. 68 (s, 2F), E-configuration-80. 44 (s, 3F),-71. 36 (s, White solid, mp : 99-3F), 101 as E- configuration Pale yellow solid, mp : 40-42°C o Chromatography (ethyl Chromatography (ethyl N-O-5-CF3 11 acetate : hexane = 1 : 20) acetate : hexane = 1 : 20) C3F7'H-NMR 8 [ppm] : 7. 23-7. 30 (m, (CDCb). 4H), 7. 35-7. 42 (m, 3H), 8 [ppm] : 7. 23 (s, 4H), 7. 47-7. 51 (m, 2H), 8. 33 7. 53-7. 57 (m, 2H), (s, 1H),-124. 74 (s, 2F),-110. 80 tentatively assigned as (s, 2F),-80. 57 (s, 3F), E-configuration-71. 48 (s, 3F), White solid, tentatively assigned as E- mp : 79-81°C configuration Yellow Ex. Structure Intermediate Final Purification, Purification, Physica Recrystallization from Recrystallization from 2- toluene 9 > aF7 : 7. 43-7. 53 (m, (CDCI3). 3H), 7. 84-7. 42 (m, 1H), [ppm] : 7. 42 (d, 1H), 7. 95 (d, 1H), 8. 14-8. 20 7. 51-7. 58 (m, 2H), 7. 88- (m, 2H), 8. 34 (s, 1H), 7. 92 (m, 1 8. 02 (d, tentatively assigned as 1 8. 14 (s, 1 8. 16- E-configuration 8. 20 (m, 1H),-124. 47 (s, White solid, 2F),-110. 62 (s, 2F), mp : 166-169°C-80. 37 (s, 3F),-71. 03 (s, 3F), tentatively assigned as E-configuration White solid, : 70-71°C Chromatography (ethyl Recrystallization from 2- acetate : hexane= 1 : 9) propanol 10 1 C2Hs z s 8 [ppm] : 1. 44 (t, 3H), 8 [ppm] : 1. 48 (t, 3H), 4. 41 4. 36 (q, 2H), 7. 26 (t, (q, 2H), 7. 32 (t, 1 H), 7. 45- 1H), 7. 40-7. 54 (m, 4H), 7. 58 (m, 4H), 8. 12 (d, 8. 10 (d, 1H), 8. 18 (s, 1H), 8. 16 (s, 1),-124. 51 1H), 9. 35 (br (s, 2F),-110. 11 (s, 2F), 124. 2F),-110. 77-80. 38 (s, 3F),-71. 42 (s, (s, 2F),-80. 49 (s, 3F), 3F), tentatively assigned tentatively assigned as as E-configuration E-configuration Pale yellow solid, Pale orange solid, mp : 103-105°C mp : 180-182°C Ex. Structure Intermediate Final Purification, Physical Recrystallization from Chromatography (ethyl R acetate : hexane : 3) 11) cZH, : 1. 54 (t, 3H), 4. 47 o 8 [ppm] : 1. 30 (t, 3H), (q, 2H), 7. 53-7. 63 (m, R=-c=N-o--CF, 4. 43 (q, 2H), 7. 40 (d, 4H), 8. 17 (s, 2H), C, 2H), 7. 71 (d, 2H), 8. 28 tentatively assigned as (s, 2H), 12. 77 (s, 2H), Z, E-configuration - 25 (s, 4F),-Yellow solid, 108. 49 (s, 4F),-79. 77 mp : 128-137°C (s, 6F), tentatively assigned as E- configuration Pale gray solid, mp : 206-209°C o Chromatography (ethyl Chromatography (ethyl N-O-S-CFa 11 : hexane=1 : 9) acetate : hexane=1 : 9) 12 F-NMR C3 (CDCIg) (CDCIg) 8 [ppm] : 7. 37 (t, 1H), [ppm] : 7. 38 (t, 1H), 7. 44-7. 52 (m, 4H), 7. 44-7. 50 (m, 4H), 7. 62- 7. 63-7. 76 (m, 8H), 8. 34 7. 81 (m, 8H),-124. 57 (s, (s, 1 82 (s, 2F), 2F),-110. 72 (s, 2F), - 81 (s, 2F),-80. 38 (s, 3F),-71. 32 (s, (s, 3F), 3F), tentatively assigned tentatively assigned as as E-configuration E-configuration Yellow Yellow mp : 131-134°C mp : 211-212°C Ex. Structure Intermediate Final Purification, Purification, Physical Recrystallization from Chromatography (ethyl toluene acetate : hexane 1 : 20) 13 C=N-0-S9-CF,'H-NMR c, [ppm] : 3. 96 (s, 2H), (CDCI3). 7. 33-7. 44 (m, 3H), 8 [ppm] : 3. 99 (s, 2H), 7. 37- 7. 55-7. 59 (m, 2H), 7. 46 (m, 3H), 7. 54 (s, 1H), 7. 80-7. 88 (m, 2H), 8. 52 7. 60 (d, 1H), 7. 85 (d, 1H), (s, 1 7. 91 (d, 1 56 (s, tentatively assigned as 2F),-110. 58 (s, 2F),-80. 39 E-configuration (s, 3F),-71. 36 (s, 3F), Beige solid, tentatively assigned as E- mp : 143-148°C configuration Pale yellow solid, mp : 74-75°C Crude Chromatography (ethyl H : hexane 1 : 9) 14 [ppm] : 3. 93 (s, 2H),'H-NMRand'9F-NMR tcFZa 6. 03 (tt, 1 7. 31-7. 43 (CDCI3). (m, 3H), 7. 53-7. 58 (m, 8 [ppm] : 3. 99 (s, 2H), 2H), 7. 80 (d, 1 7. 84 6. 04 (tt, 1 7. 36-7. 46 (d, 1H), (m, 3H), 7. 54 (s, 1H), 7. 59 tentatively assigned as (d, 1 7. 84 (d, 1 7. 91 E-configuration (d, 1 39 (d, 2F), Beige solid,-129. 75 (s, 2F),-123. 81 mp : i20-121£ -120. (s, 2F),-109. 76 (s, 2F),-71. 40 (s, 3F), tentatively assigned as E- configuration Beige solid, mp : 73-74°C Ex. Structure Purification, Purification, Physical Recrystallization from 2- Same intermediate as propanol 15 w =N-O'S-C4FB described in example and'9F-NMR C3F7 ° 13 (CDCI3). 8 [ppm] : 3. 99 (s, 2H), 7. 36-7. 46 (m, 3H), 7. 53 (s, 1H), 7. 60 (d, 1H), 7. 84 (d, 1H), 7. 92 (d, 1H), - 19 (s, 2F),-124. (s, 2F),-121. 49 (s, 2F), - 57 (s, 2F),-107. 33 (s, 2F),-81. 08 (s, 3F), - 41 (s, 3F), tentatively assigned as E- configuration ; White solid, mp : 58-59°C Recrystallization from as Methanol 16 in example'H-NMRand'9F-NMR 14 8 [ppm] : 3. 98 (s, 2H), 6. 04 (tt, 1 7. 36-7. 45 (m, 3H), 7. 53 (s, 1H), (d, 1H), 7. 84 (d, 1H), 91 (d, 1H), -137. (d, 2F),-129. 79 (s, 2F),-126. 26 (s, 2F), - 85 (s, 2F),-121. 51 (s, 4F),-120. 20 (s, 2F), - 79 (s, 2F),-107. 38 (s, 2F),-81. (s, 3F), tentatively assigned as E- configuration Beige solid, mp : 53-58°C Ex. Structure Intermediate Final Purification, Purification, Physical Crude Chromatography (ethyl 'H-NMR (DMSO-d6). acetate : hexane : 3) 17 : 2. 16-2. 18 (m,'H-NMR 2H), 4. 12 (t, 4H), 6. 98 (CDCI3). o (d, 4H), 7. 23 (d, 4H), 8 [ppm] : 2. 30-2. 38 (m, 12. 77 (br 2H), 2H), 4. 25 (t, 4H), 7. 03 (d, tentatively assigned as 4H), 7. 36 (d, 4H),-124. 82 Z, (s, 4F),-110. 82 (s, 4F), Y solid,-80. 60 (s, 6F),-71. 57 (s, c, mp : 136-138°C 6F), tentatively assigned 0 as Yellow resin o from Recrystallization from 2- 0 OF-C=N-0-11 toluene propanol 0'H-NMR ßJ : 2. 43 (m, 2H), (CDCI3) ? 4. 42 (t, 4H), 7. 30 (d, 8 [ppm] : 2. 35 (tt, 2H), 4H), 7. 70 (d, 4H), 4. 25 (t, 4H), 7. 04 (d, 4H), tentatively assigned as 7. 49 (d, 4H),-71. 4 (s, 6F), Z, E-configuration-66. 2 (s, 6F), tentatively o White solid, assigned as Z, E- cR-c=N-o-s-cF, p configuration 11 White solid, mp : 56-58°C Same intermediate as Chromatography (ethyl CF-C=N-O-S-C described in example acetate : hexane = 1 : 9) 19 : ka'2. 35 (tt, 2H), 4. 25 (t, 4H), 0 7. 04 (d, 4H), 7. 48 (d, 4H), ) assigned as o E-configuration Colorless 0 CF3C=N-0-1l-C4F 0 Ex. Structure Intermediate Final Purification, Purification, Physicatproperties s from Recrystallization from 2- N-0-S-C4Fg 20 (cF2)e-H (CDCI3). 8 [ppm] : 6. 04 [ppm] : 6. 05 (tt, 1H), 7. 41 7. 44-7. 53 (m, 3H), (d, 1 7. 53-7. 59 (m, 2H), 7. 86-7. 91 (m, 1H), 7. 96 7. 89-7. 95 (m, 1H), 8. 02 (d, (d, 1H), 8. 15-8. 20 (m, 1H), 8. 12 (s, 1H), 8. 15-8. 21 2H), 8. 34 (br s, 1 H),- (m, 1 40 (d, 2F), 137. 53 (d, 2F),-129. 92-129. 71 (s, 2F),-126. 20 (s, (s, 2F),-123. 87 (s, 2F), 2F),-123. 80 (s, 2F), - 62 (s, 2F),--121. 47 (s, 4F),-120. 09 (s, 120. 31 (s, 2F),-110. 03 2F),-109. 80 (s, 2F), (s, 2F), tentatively-107. 29 (s, 2F),-81. 09 (s, assigned as E-3F), tentatively assigned a configuration E-configuration Pale yellow solid, White solid, mp : 164-181 : 69-71°C Crude from 2- 'H-NMRand'9F-NMR 21 o (CDCI3).'H-NMR and'9F-NMR ! : 6. 05 (tt, 1H), t 52 (m, 5H), 8 [ppm] : 6. 05 (tt, 1H), 39 7. (m, 8H), 8. 55 (t, 1H), 7. 44-7. 51 (m, 4H), (br (d, 7. 64-7. 83 (m, 8H),-137. 42 2F),-129. 92 (s, 2F),- (d, 2F),-129. 73 (s, 2F), 123. 87 (s, 2F),-121. 64-126. 22 (s, 2F),-123. 81 (s, t3 2F),-120. 43 (s, 2F), 2F),-121. 50 (s, 4F), - 13 (s, 2F),-120. 24 (s, 2F),-109. 93 (s, tentatively assigned as 2F),-107. 27 (s, 2F),-81. 09 E-configuration (s, 3F), tentatively assigne Brown solid, as E-configuration mp : 157-159°C Yellow mp : 85-87°C Ex. Structure Purification, Physical Recrystallization T=N-O-S-C4FO hexane 22 8 [ppm] : 3. 44 (s, 4H), (CDCI3) 6. 03 (tt, 1 H), 7. 34-7. 43 8 [ppm] : 3. 47 (s, 4H), 6. 04 (m, 4H), 7. 53 (t, 1 7. 27 (d, 1 7. 37- 8. 13 (br 7. 43 (m, 3H), 7. 58 (t, 1 tentatively assigned as-137. 45 (d, 2F),-129. 80 (s, E-configuration 2F),-126. 26 (s, 2F), Brown solid,-123. 85 (s, 2F),-121. 45 (s, mp : 114-133°C 4F),-119. 85 (s, 2F), - 14 (s, 2F),-107. 40 (s, 2F),-81. 12 (s, 3F), tentatively assigned as E- configuration Yellow solid, mp : 68-77°C Recrystallization from Chromatography (ethyl 23/\/\-N-o-s-oFg toluene acetate : hexane=1 : 20) (CFZ) 8 [ppm] : 6. 04 7. 35-7. 50 (m, 5H), 7. 62 8 [ppm] : 6. 05 (tt, 1H), 7. 40- (d, 2H), 7. 68 (d, 2H), 7. 55 (m, 5H), 7. 62 (d, 2H), 8. 42 s, 1 H), 7. 75 (d, 2H),-137. 54 (d, tentatively assigned as 2F),-129. 76 (s, 2F), E-configuration-123. 84 (s, 2F),-121. 54 (s, Beige solid, 2F),-120. 23 (s, 2F), mp : 96-99°C-109. 99 (s, 2F),-71. 42 (s, 3F), tentatively assigned as E-configuration, Yellow Ex. Structure Intermediate Final Purification, Purification, Physical Same intermediate as Chromatography (ethyl 24 example acetate : hexane 1 : 20) a O (cF2) (CDCI3). 8 [ppm] : 6. 05 (tt, 1H), 7. 40-7. 51 (m, 5H), 7. 62 (d, 2H), 7. 75 (d, 2H), - (d, 2F),-129. 75 (s, 2F),-126. 24 (s, 2F), - 82 (s, 2F),-121. 51 (s, 4F),-120. 23 (s, 2F), - 96 (s, 2F),-107. 29 (s, 2F),-81. 12 (s, 3F), tentatively assigned as E- configuration, Yellow 9 from Chromatography (ethyl 25 : hexane 1 : 20) 0 tcFa>H'H-NMR 8 [ppm] : 6. 04 7. 01-7. 10 (m, 4H), 7. 18 8 [ppm] : 6. 04 1H), (t, 1H), 7. 35-7. 42 (m, 7. 04-7. 14 (m, 4H), 7. 24 (t, 4 8. 36 (s, H), 1 H), 7. 34 (d, 2H), 7. 42 (t, tentatively assigned as 2H),-137. 51 (d, 2F), E-configuration-129. (s, 2F),-123. 82 White solid, (s, 2F),-121. 53 (s, 2F), mp : 108-109°C-120. 27 (s, 2F),-109. 87 (s, 2F),-71. 41 (s, 3F), tentatively assigned as E- configuration, Yellow liquid Ex. Structure Intermediate Final Purification, Purification, Physical Same as Chromatography (ethyl 26 in example acetate : hexane 1 : 20) I Z ° 25'H-NMR (CDCI3). 8 [ppm] : 6. 03 (tt, 1H), 7. 04-7. 13 (m, 4H), 7. 24 (t, 1H), 7. 33 (d, 2H), 7. 41 (t, 2H),-137. 55 (d, 2F), - 81 (s, 2F),-126. (s, 2F),-123. 87 (s, 2F), - 56 (s, 4F),-120. 36 (s, 2F),-109. 92 (s, 2F), - 34 (s, 2F),-81. 17 (s, 3F), tentatively assigned as E-configuration, Yellow » Recrystallization Chromatography (ethyl 27 : hexane= 1 : 20) -H 8 [ppm] : 6. 03 (tt, 1 7. 22-7. 41 (m, 7H), 8 [ppm] : 6. 01 (tt, 1H), 7. 22 7. 43-7. 46 (m, 2H), 8. 46 (s, 4H), 7. 41-7. (m, 3H), (br s, 1H), 7. 52-7. 58 (m, 2H),-137. 42 assigned as E- (d, 2F),-129. 76 (s, 2F), configuration-123. 84 (s, 2F),-121. 56 (s, White solid, 2F),-120. 28 (s, 2F), mp : 84-85°C-109. 94 (s, 2F),-71. 40 (s, 3F), tentatively assigned as E-configuration, Yellow Ex. Structure Intermediate Final Purification, Purification, Physical 5~-N Same intermediate as Chromatography (ethyl 28 _-j described in example acetate : hexane 1 : 70) 27'H-NMR (CDCI3). 8 [ppm] : 6. 03 (tt, 1H), 7. 21 (s, 4H), 7. 42-7. 46 (m, 3H), 7. 53-7. 58 (m, 2H), - 47 (d, 2F),-129. 79 (s, 2F),-126. 28 (s, 2F), - 87 (s, 2F),-121. 55 (s, 4F),-120. 35 (s, 2F), - 97 (s, 2F),-107. 29 (s, 2F),-81. 16 (s, 3F), tentatively assigned as E- configuration, Yellow < Same intermediate as Chromatography (ethyl - 29 if described in example acetate : hexane 1 : 20) 6-H (CDCI3). 8 [ppm] : 6. 05 (tt, 1H), 7. 12-7. 50 (m, 5H), 7. 64- 7. 83 (m, 8H),-137. 40 (d, 2F),-129. 2F), - (s, 2F),-121. 48 (s, 2F),-120. 17 (s, 2F), - 90 (s, 2F),-71. 34 (s, 3F), tentatively assigned as E-configuration, Beige solid, mp : 96-98°C Ex. Structure Intermediate Final Purification, Physical Crude Chromatography (ethyl 30 acetate : hexane 1 : 20) (CDC13).'H-NMR 5 : 3. 86 (s, 3H, ) (CDCI3). 6. 05 (tt, 1 7. 05 (d, 8 [ppm] : 3. 90 (s, 3H), 6. 05 1H), 7. 32-7. 46 (m, 5H), (tt, 1H), 7. 10 (d, 1H), 7. 33- 7. 53 (d, 1H), 8. 02 (s, 7. 50 (m, 7H),-137. 49 (d, 1H),-137. 40 (d, 2F), 2F),-129. 74 (s, 2F), - 95 (s, 2F),-123. 89-123. 80 (s, 2F),-121. 48 (s, (s, 2F),-121. 59 (s, 2F),-2F),-120. 20 (s, 2F), 120. 42 (s, 2F),-109. 94-109. 66 (s, 2F),-71. 41 (s, (s, 2F), tentatively assig-3F), tentatively assigned as ned as E-configuration E-configuration, White solid, Yellow oil mp : 89-104°C Recrystallization from Recrystallization from 2- 31 toluene propanol CF3'H-NMR (DMSO-d6). (CDCI3). 6 [ppm] : 3. 96 (s, 2H), S [ppm] : 4. 00 (s, 2H), 7. 39- 7. 32-7. 42 (m, 2H), 7. 45 7. 46 (m, 2H), 7. 50 (d, 1 (d, 1H), 7. 58 (d, 1H), 7. 60 (d, 1H), 7. 65 (s, 1H), 7. 66 (s, 1H), 7. 93 (d, 7. 85 (d, 1H), 7. 92 (d, 1 1H3, 99 (d, 1 H),-71. 37 (s, 3F),-66. 45 (s, 12. 71 (br s, 1 97 3F), tentatively assigned as (s, 3F), tentatively E-configuration, assigned as E-Pale yellow solid, configuration, mp : 73-74°C Pale yellow mp : 211-212°C Ex. Structure Intermediate Final Purification, Purification, Physical Same intermediate as Chromatography (ethyl 32 OZ in example acetate : hexane = 1 : 20) (cFz) (CDCI3). S [ppm] : 3. 98 (s, 2H), 6. 04 (tt, 1H), 7. 35-7. 45 (m, 3H), (s, 1H), 7. 59 (d, 1H), 7. 83 (d, 1H), 7. 91 (d, 1 55 (d, 2F), - 81 (s, 2F),-126. 53 (s, 2F),-123. 86 (s, 2F), - 10 (s, 2F),-122. 03 (s, 2F),-121. 49 (s, 2F), -120. (s, 2F),-120. 21 (s, 2F),-109. 79 (s, 2F), - (s, 2F),-81. 19 (s, 3F), tentatively assigned as E-configuration ; Beige : 50-55°C Same intermediate as Chromatography (ethyl 33 in example acetate : hexane = 1 : 15) i tFa) z o=s--o (CDCf3). â : 3. 98 (s, o 2H), 6. 04 (tt, 1 7. 37- 7. 7. (m, 3H), 7. 53 (s, 1H), - H 1 1H), 7. 91 (d, 1H) ;-137. 47 (d, 78 (s, 2F), -123. 85 (s, 2F),-121. 51 (s, 2F),-120. 16 (s, 2F), - 27 (s, 2F),-109. 84 (s, 2F),-88. 56 (s, 2F), - 92 (s, 3F),-82. 27 (s, 2F). tentatively assigned as E-configuration, _ Ex. Structure Intermediate Final Purification, Purification, Physical 0 Crude Chromatography (ethyl 34 acetate : hexane 1 : 9) IcF2eH 8 [ppm] : 6. 04 7. 38 (t, 1 7. 46-7. 53 8 [ppm] : 6. 04 (tt, 1 7. 40- (m, 2H), 7. 60 (d, 1 H), 7. 47 (m, 2H), 7. 55 (t, 1 H), 7. 67 (d, 1 H), 7. 96-8. 01 7. 63 (d, 1 H), 7. 72 (d, 1 H), (m, 2H), 8. 42 (s, 1H), 7. 96-8. 02 (m, 2H),-137. 38 - 45 (d, 2F),-129. 90 (d, 2F),-129. 71 (s, 2F),- (s, 2F),-123. 87 (s, 2F), 123. 80 (s, 2F),-121. 47 (s, - 61 (s, 2F),-120. 31 2F),-120. 07 (s, 2F),- (s, 2F),-110. 04 (s, 2F), 109. 81 (s, 2F),-71. 36 (s, tentatively assigned as 3F), tentatively assigned as E-configuration E-configuration, White solid, Yellow liquid mp : 141-143°C ° Same intermediate as Chromatography (ethyl 35 described in example acetate : hexane = 1 : 9) cFa) (CDCI3). 8 [ppm] : 6. 04 (tt, 1H), 7. 40-7. 46 (m, 2H), 7. 55 (t, 1H), 7. 63 (d, 1H), 7. 72 (d, 1H), 7. 95-8. 02 (m, 2H), -137. (d, 2F),-129. 74 (s, 2F),-126. 24 (s, 2F), - 82 (s, 2F),-121. 50 (s, 4F),-120. 11 (s, 2F), - 83 (s, 2F),-107. 30 (s, 2F),-81. (s, 3F), tentatively assigned as E- configuration, Yellow Ex. Structure Intermediate Final Purification, Purification, Physical s Same intermediate as Chromatography (ethyl 36 described in example acetate : hexane 1 : 20) (cF2aa H ° 20'H-NMR (CDCI3). 8 [ppm] : 6. 05 (tt, 1H), 7. 41 (d, 1H), 7. 52-7. 58 (m, 2H), 7. 87-7. 93 (m, 1H), 8. 02 (d, 1H), 8. 13 (s, 1H), 8. 15-8. 2 (m, 1H),-137. 36 (d, 2F), - 68 (s, 2F),-123. 77 (s, 2F),-121. (s, 2F), -120. 00 (s, 2F),-109. 80 (s, 2F),-71. 35 (s, 3F), tentatively assigned as E- configuration, Pale yellow mp : 51-53°C s Same intermediate as Recrystallization from 2- 37 described in example 9 propanol C (CDCI3). 8 [ppm] : 7. 41 (d, 1 7. 52- 7. 59 (m, 2H), (d, 1H), 8. 02 (d, 1H), 8. 13 (s, 1H), 8. 17 (d, 1H),-126. 22 (s, 2F),-124. 53 (s, 2F), - 49 (s, 2F),-110. 67 (s, 2F),-107. 31 (s, 2F),-81. 06 (s, 3F),-80. 39 (s, 3F), tentatively assigned as E- configuration White : 92-93°C Ex. Structure Purification, Purification, _ Same intermediate as Chromatography (ethyl 38 described in example acetate : hexane 1 : 20) -H H-NMRandP-NMR (CDCI3). 5 [ppmj : 6. 05 (tt, 1H), 7. 38-7. 58 (m, 3H), 7. 88- 7. 95 (m, 1H), 8. 01 (d, 1H), 8. 10-8. 20 (m, 2H), 8. 15-8. 20 (m, 1H), - 45 (d, 2F),-129. 75 (s, 2F),-126. 50 (s, 2F), - 82 (s, 2F),-123. 72 (s, 2F),-121. 99 (s, 2F), - 46 (s, 2F),-120. 47 (s, 2F),-120. 11 (s, 2F), - 80 (s, 2F),-107. 06 (s, 2F),-81. 17 (s, 3F), tentatively assigned as E- configuration White solid, mp : 56-58°C » Crude Chromatography (ethyl 39 : hexane= 1 : 20) < S [ppm] : 6. 05 (ff, 7. 40 (d, 1 7. 66 (t, 8 [ppm] : 6. 05 (tt, 1 2H), 7. 88-7. 95 (m, 3H), 7. 39 (d, 1H), 7. 71 (t, 2H), 7. 98-8. 03 (m, 3H), 8. 12 7. 89 (s, 1H), 7. 95 (t, 2H), (s, 1H),-137. 46 (d, 2F), 8. 03 (d, 1H), 8. 08 (d, 2H), - 94 (s, 2F),-137. 36 (d, 2F),-129. 74 - 88 (s, 2F), (s, 2F),-126. 21 (s, 2F), - 57 (s, 2F),-123. 80 (s, 2F),-121. 49 - 27 (s, 2F), (s, 4F),-120. 11 (s, 2F), - 89 (s, 2F),-109. 76 (s, 2F),-107. 34 tentatively assigned as (s, 2F),-81. 09 (s, 3F), E-configuration tentatively assigned as E- White mp : 141-149°C Yellow Ex. Structure Intermediate Final Purification, Purification, Physical o Recrystallization from Chromatography (ethyl 11 ether acetate : hexane 1 : 5) o'H-NMR 9 8 : 2. 25-2. 33 (m, 8 [ppm] : 2. 30-2. 38 (m, (gcH2) 2H), 4. 20 (t, 4H), 6. 03 2H), 4. 25 (t, 4H), 6. 03 (tt, o (tt, 2H), 6. 98 (d, 4H), 2H), 7. 03 (d, 4H), 7. 34 (d, 7. 35 (d, 4H), 8. 26 (s, 4H),-137. 46 (d, 4F), 0 2H),-137. 50 (d, 4F),-129. 83 (s, 4F),-126. 30 H- (,), (s, 4F),-123. 90 (s, 4F), °-123. 93 (s, 4F),-121. 59 (s, 8F),-120. 42 - 71 (s, 4F), (s, 4F),-110. 02 (s, 4F), - 55 (s, 4F),-107. 44 (s, 4F),-81. 19 (s, - 32 (s, 4F), 6F), tentatively assigned tentatively assigned as as E-configuration, E-configuration Yellow resin White solid, mp : 134-135°C Intermediate Final productExample 41 : Photosensitivity is measured in an ArF model resist formulation with VUVES 4500 (ArF laser), Litho Tech Japan, as an exposure too). The positive tone resist utilizes a copolymer of Y-butyrolactone methacrylate and 2-methyladamantyl methacrylate (54/46 mot-%, Mitsubishi Rayon Co., Ltd) having a number average molecular weight of 7600. As for solvent, propylene glycol methyl ether acetate (PGMEA) from Tokyo Kasei Kogyo Co. LTD. is employed with 100 ppm of FC-430 from 3M as a leveling reagent. The exact composition of the formulation and the amount of the photoacid generator (PAG) is described in Table 2.

The resist formulations are spin-coated at 350 nm thickness on silicon wafers on which the bottom antireflection courting with AR-19 from Shipley Company L. L. C. is applied in advance at a thickness of 80 nm and prebaked at 120°C for 60 seconds. After exposure with various exposure doses, a post exposure bake is applied at 120°C for 60 seconds and the resists are then developed in 2.38 % aqueous tetramethyl ammonium hydroxide solution for 120 seconds with monitoring the resist thickness by RDA-790 (Litho Tech Japan).

Table 2 Binder polymer (parts) 100 PAG (parts) 2 Solvent (parts) 600 As a measure for photosensitivity, the"Dose to Clear" (Eo), which is the dose just sufficient to completely remove the resist film with 60 seconds development, is determined from the experimental data generated by RDA-790. The smaller the required dose, the more sensitive is the resist formulation. The results are collected in Table 3 and demonstrate that the compositions according to the invention are suitable for the preparation of positive tone resists.

Table 3 Compound of example Eo [mJ/cm2] 6 1. 63 7 1. 56 8 1. 28 9 2. 44 12 1. 51 13 1. 74 14 2. 57 15 3. 95 16 4. 55 17 2. 96 18 2. 47 19 3. 09 30 4. 32 31 0. 95 36 2. 49 33 3. 20 16 (1 part) + PAG Ao (1 part) 2. 26 PAG A: Triphenylsulfonium nonatiate Example 42: A chemically amplified positive resist formulation is prepared by mixing the following compo- nents: 100.00 parts of a resin binder (a copolymer of 61 mol-% of p-hydroxystyrene and 39 mol-% of t-butyl acrylate, having a Mw of 19460 ; RTMMaruzen MARUKA LYNCUR PHS/TBA, provided by Maruzen Oil Company, Japan) 0.05 parts of a levelling agent (FC-430, provided by 3M) 500.00 parts of propylene glycol methyl ether acetate (PGMEA) (provided by Tokyo Kasei, Japan) 4.0 parts of the photoacid generator to be tested The resist formulation is spin coated onto a hexamethyl dimethylsilane-treated silicone wafer at 3000 rpm for 45 seconds and softbaked for 60 seconds at 120°C on a hotplate to obtain a film thickness of 800 nm. The resist film is then exposed to deep UV radiation of 254 nm wavelength through a narrow band interference filter and a multidensity quartz mask using an Ushio's high pressure mercury lamp, UXM-501MD, and a mask aligner Canon PLA-521. The samples then are post exposure baked for 60 seconds at 120°C on a hotplate and developed. The exposure intensity is measured with a Unimeter UIT-150 from Ushio. The Dose to Clear (Eo), which is the dose just sufficient to completely remove the resist film with 60 seconds immersion development in 1. 79 % aqueous tetramethyl ammonium hydroxide developer, is determined from the measured contrast curve. The smaller the required dose the more sensitive is the resist formulation. The results are collected in Table 4 and demonstrate that the compositions are suitable for the preparation of positive photoresists.

Table 4 Compound of example Dose to Clear (Eo) [mJ/cm2] 16 0. 25 20 0.22 Example 43 : A resist solution is prepared by dissolving 65 parts of polyvinylphenol (Mw = 4.000, Maruzen Chemicals Co. Ltd. ), 30 parts of hexamethoxymethyl) melamin (Cymels 303, Cyanamid) and 5 parts of the latent acid to be tested in 7.5 9 of 1-methoxy-2-propylacetat, which con- tains 1000 ppm of an anti-foaming agent (FC430). This solution is spin coated onto the po- lished side of a silicon wafer (diameter 4 inch), which has been pretreated with hexamethyl- disilazan, by spinning at 5000 rpm for 30 seconds. The solvent is removed by drying the coated wafer for 60 seconds at 110°C on a hot plate (pre-bake), which results in films of 1 fi m thickness. Irradiation of the samples is performed with a Canon maskaligner (Canon PLA 501) using interference filters to select the wavelengths at 365 nm. A special mask containing a greyscale step wedge (transmissions ranging from 0 to 50 %) and resolution patterns are used. After exposure the wafers are heated for 60 seconds at 110°C to perform the post exposure bake (PEB) during which the liberated acid catalyses the crosslinking reaction in the irradiated areas. Developing is performed by dipping the samples into a 2.38 % solution of tetramethyl ammonium hydroxide (TMAH) for 60 seconds.

The thickness of the film before exposure as well as after exposure in the fields that were exposed to different doses is measured with an Axiotron from Zeiss which uses white light interference. The thickness measurements are used to estimate the one-to-one energy E1 : 1 which is the dose that is required to retain the same film thickness as before developing. The film thickness of the cured samples is also measured by means of an Alpha Step profilometer. The step with the highest number that is cured is used to calculate the minimum dose EO required to have crosslinking. The smaller the required dose the more reactive is the latent acid.

The results are listed in Table 5.

Table 5 Latent acid compound Reactivity at 365 nm (mJ) of example 16 EO 93 E1 : 1 251 20 EO 98 E1 : 1 253 Es, amole 44 : PAGs are dissolved in toluene-d8 at the concentration of 1. 5 w/v% in the presence of triethanolamine as an amine additive at the concentration of 1.0 fiv%, and stored at 75°C for 17 hours, which is an accelerated aging condition. The remaining amount of the PAGs is determined by'H-and'9F-NMR. The results are summarized in Table 6.

Table 6 Compound Remaining amount of example after storage 1 97% 2 98% 3 97% 4 98% 5 98% 6 97% 13 97% 17 97%