Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HEATER FAULT DETERMINATION DURING PROCESSING
Document Type and Number:
WIPO Patent Application WO/2019/226462
Kind Code:
A1
Abstract:
Systems and methods for determining an existence of a fault in a heater system of a plasma chamber are described. One of the methods includes controlling passage of current through control elements of a group of the heater system and measuring amounts of current that include the current passing through the control elements. The method further includes determining whether one or more of the amounts fall outside a statistical range. Upon determining that the one or more of the amounts fall outside the statistical range, it is determined that the heater system has a fault. The method is applied during processing of a substrate to determine the fault without a need to use a reference baseline to determine the fault. The reference baseline is generated during manufacturing of the heater system.

Inventors:
MIKHNENKO OLEKSANDR (US)
WU BENNY (US)
JING CHANGYOU (US)
RAMADURGAM SARATH (US)
Application Number:
PCT/US2019/032726
Publication Date:
November 28, 2019
Filing Date:
May 16, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01J37/32; H01L21/683; H05B3/20
Domestic Patent References:
WO2008034092A22008-03-20
Foreign References:
US20120101622A12012-04-26
US20030226821A12003-12-11
US20160172162A12016-06-16
US20060005927A12006-01-12
Attorney, Agent or Firm:
PATEL, Nishitkumar, V. (US)
Download PDF:
Claims:
IN THE CLAIMS

1. A method for identifying a fault in a heater array used in substrate support of a plasma chamber, comprising:

identifying a group of heater nodes from the heater array, wherein the heater array includes first addressable buses and second addressable buses, and the group includes a subset of said first addressable buses and a subset of said second addressable buses; supplying a current to each of the heater nodes of the group by addressing said each of the heater nodes using the first and second addressable buses of the heater array;

qualifying a plurality of current outputs measured responsive to said supplying the current to said each of the heater nodes; and

identifying one or more of the plurality of current outputs as being outside a range of clustering produced by the plurality of current outputs for the group, wherein the fault is identified when one or more of the plurality of current outputs is outside the range of clustering.

2. The method of claim 1, wherein the range of clustering self-adjusts for an operating

temperature of the plasma chamber, wherein the fault is identifiable from the range of clustering after said self-adjusting.

3. The method of claim 1, wherein the range of clustering is self-defining for an operating temperature of the substrate support.

4. The method of claim 1, further comprising:

shifting the range of clustering with a change in a temperature of the plasma chamber; and

identifying the fault after the range of clustering is shifted.

5. The method of claim 1, further comprising calculating a standard deviation of the

plurality of current outputs to identify the range of clustering.

6. The method of claim 1, further comprising calculating a variance of the plurality of

current outputs to identify the range of clustering.

7. The method of claim 1, further comprising: generating alarm data upon determining that the heater array has a fault; and displaying the alarm data on a display device.

8. The method of claim 1, wherein said identifying the group of heater nodes from the heater array, said supplying of the current to said each of the heater nodes of the group, said qualifying the plurality of current outputs measured, and said identifying the one or more of the plurality of current outputs as being outside the range of clustering are performed during processing of a substrate within the plasma chamber.

9. The method of claim 1, further comprising repeating periodically, during processing of a substrate within the plasma chamber, said identifying the group of heater nodes from the heater array, said supplying of the current to said each of the heater nodes of the group, said qualifying the plurality of current outputs measured, and said identifying the one or more of the plurality of current outputs as being outside the range of clustering.

10. The method of claim 1, further comprising calculating the range of clustering based on the plurality of current outputs, wherein said calculating the range of clustering comprises:

calculating a standard deviation from the plurality of current outputs; or

calculating a variance from the plurality of current outputs; or

applying an upper limit and a lower limit to an average of the plurality of current outputs.

11. The method of claim 1, further comprising avoiding applying a baseline pattern to detect the fault in the heater array, wherein the baseline pattern is generated before processing of a substrate within the plasma chamber.

12. The method of claim 1, wherein each of the heater nodes includes a rectifier and a

resistor, wherein the rectifier is coupled in series with the resistor.

13. A computer system for identifying a fault in a heater array used in a substrate support of a plasma chamber, comprising:

a processor configured to: identify a group of heater nodes from the heater array, wherein the heater array includes first addressable buses and second addressable busses, and the group includes a subset of said first addressable buses and a subset of said second addressable buses;

control a supply of current to each of the heater nodes of the group by addressing said each of the heater nodes using the first and second addressable buses of the heater array;

qualify a plurality of current outputs measured responsive to the supply of the current to said each of the heater nodes; and

identify one or more of the plurality of current outputs as being outside a range of clustering produced by the plurality of current outputs for the group, wherein the fault is identified when one or more of the plurality of current outputs is outside the range of clustering; and

a memory device coupled to the processor, wherein the memory device is configured to store the plurality of current outputs measured, and the range of clustering.

14. The computer system of claim 13, wherein the processor is configured to adjust the range of clustering for an operating temperature of the plasma chamber, wherein the processor is configured to identify the fault from the range of clustering after the self-adjustment.

15. The method of claim 13, wherein the range of clustering is self-defining for an operating temperature of the substrate support.

16. The computer system of claim 13, wherein the processor is configured to:

shift the range of clustering with a change in a temperature of the plasma chamber; and

identify the fault after the range of clustering is shifted.

17. The computer system of claim 13, wherein the processor is configured to calculate a standard deviation of the plurality of current outputs to identify the range of clustering.

18. The computer system of claim 13, wherein the processor is configured to calculate a variance of the plurality of current outputs to identify the range of clustering.

19. The computer system of claim 13, wherein the processor is further configured to:

generate alarm data upon determining that the heater array has a fault; and display the alarm data on a display device.

20. The computer system of claim 13, wherein the processor is configured to identify the group of heater nodes from the heater array, control the supply of the current to said each of the heater nodes of the group, qualify the plurality of current outputs measured, and identify the one or more of the plurality of current outputs as being outside the range of clustering during processing of a substrate within the plasma chamber.

21. The computer system of claim 13, wherein the processor is configured to repeat

periodically, during processing of a substrate within the plasma chamber, the identification of the group of heater nodes from the heater array, the control of the supply of the current to said each of the heater nodes of the group, the qualification of the plurality of current outputs measured, and the identification of the one or more of the plurality of current outputs as being outside the range of clustering.

22. The computer system of claim 13, wherein the processor is configured to calculate the range of clustering based on the plurality of current outputs, wherein to calculate the range of clustering, the processor is configured to:

calculate a standard deviation from the plurality of current outputs; or

calculate a variance from the plurality of current outputs; or

applying an upper limit and a lower limit to an average of the plurality of current outputs.

23. The computer system of claim 13, wherein the processor is configured to avoid applying a baseline pattern to detect the fault in the heater array, wherein the baseline pattern is generated before processing of a substrate within the plasma chamber.

24. The computer system of claim 13, wherein each of the heater nodes includes a diode and a resistor, wherein the diode is coupled in series with the resistor.

25. A method for detecting a fault in a heater system within a plasma chamber, comprising: controlling a plurality of switches to allow passage of current via a first circuit of the heater system;

receiving a first measurement that includes an amount of the current flowing through the first circuit;

controlling the plurality of switches to allow passage of current via a second circuit of the heater system;

receiving a second measurement that includes an amount of the current flowing through the second circuit;

controlling the plurality of switches to allow passage of current via a third circuit of the heater system;

receiving a third measurement that includes an amount of the current flowing through the third circuit;

calculating a range based on the first, second, and third measurements;

determining whether the first measurement, second, and third measurements are within the range; and

determining that the heater system has a fault in response to determining that the first measurement or the second measurement or the third measurement does not lie within the range.

26. The method of claim 25, wherein the first circuit includes a first diode and a first resistor, wherein the first diode is coupled in series with the first resistor, wherein the second circuit includes a second diode and a second resistor, wherein the second diode is coupled in series with the second resistor, and wherein the third circuit includes a third diode and a third resistor, wherein the third diode is coupled in series with the third resistor.

27. The method of claim 25, further comprising:

generating alarm data upon determining that the heater system has the fault; and displaying the alarm data on a display device.

28. The method of claim 25, wherein said controlling the plurality of switches to allow

passage of the current via the first circuit, said receiving the first measurement, said controlling the plurality of switches to allow passage of the current via the second circuit, said receiving the second measurement, said controlling the plurality of switches to allow passage of the current via the third circuit, said receiving the third measurement, said calculating the range, said determining whether the first measurement, second, and third measurements are within the range, and said determining that the heater system has the fault are performed during processing of a substrate within the plasma chamber.

29. The method of claim 25, further comprising repeating periodically, during processing of a substrate within the plasma chamber, said controlling the plurality of switches to allow passage of the current via the first circuit, said receiving the first measurement, said controlling the plurality of switches to allow passage of the current via the second circuit, said receiving the second measurement, said controlling the plurality of switches to allow passage of the current via the third circuit, said receiving the third measurement, said calculating the range, said determining whether the first measurement, second, and third measurements are within the range, and said determining that the heater system has the fault.

30. The method of claim 25, further comprising avoiding applying a baseline pattern to detect the fault in the heater system, wherein the baseline pattern is generated before processing of a substrate within the plasma chamber.

31. The method of claim 25,

wherein said controlling the plurality of switches to allow passage of the current via the first circuit of the heater system comprises sending a signal to a multiplexer to turn on two of the plurality of switches to allow passage of the current via the first circuit and to turn off remaining of the plurality of switches to disallow passage of the currents via the second and third circuits,

wherein said controlling the plurality of switches to allow passage of the current via the second circuit of the heater system comprises sending a signal to the multiplexer to turn on two of the plurality of switches to allow passage of the current via the second circuit and to turn off remaining of the plurality of switches to disallow passage of the currents via the first and third circuits,

wherein said controlling the plurality of switches to allow passage of the current via the third circuit of the heater system comprises sending a signal to the multiplexer to turn on two of the plurality of switches to allow passage of the current via the third circuit and to turn off remaining of the plurality of switches to disallow passage of the currents via the first and second circuits.

32. The method of claim 25, wherein said calculating the range based on the first, second, and third measurements comprises:

calculating a standard deviation of the first, second, and third measurements; or calculating a variance of the first, second, and third measurements; or

applying an upper limit and a lower limit to an average of the first, second, and third measurements.

33. A method comprising:

generating a graphical user interface for displaying information regarding a fault in a heater array of a plasma chamber,

wherein the fault is determined by identifying that at least one current value is outside a first statistical range of a first plurality of current values and that at least one current value is outside a second statistical range of a second plurality of current values, wherein the first plurality of current values are obtained by supplying a voltage to the heater array when the plasma chamber has a first temperature and the second plurality of current values are obtained by supplying the voltage to the heater array when the plasma chamber has a second temperature.

34. The method of claim 33, wherein the first statistical range changes to the second

statistical range with a change from the first temperature to the second temperature, and the second temperature is different from the second temperature.

35. The method of claim 33, wherein the first statistical range has a first upper limit and a first lower limit and the second statistical range has a second upper limit and a second lower limit, wherein a distance between the first upper limit and the first lower limit is substantially the same as a distance between the second upper limit and the second lower limit.

36. The method of claim 33, wherein the information regarding the fault includes an

indication of the fault and a plurality of details regarding the fault.

37. The method of claim 36, wherein the plurality of details regarding the fault include a time at which the fault was determined, a type of the fault, and an identification number of the fault.

Description:
HEATER FAULT DETERMINATION DURING

PROCESSING

Field

[0001] The present embodiments relate to systems and methods for determining that there is a fault in a heater system within a plasma chamber during processing of a substrate.

Background

[0002] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

[0003] A plasma system includes a radio frequency (RF) generator and a plasma chamber. The RF generator is coupled to the plasma chamber. The RF generator generates a signal to supply to the plasma chamber. Within the plasma chamber, there is a wafer placed on a chuck and the wafer is processed based on the signal. The chuck includes multiple heaters that are operated to control temperature for processing the wafer.

[0004] It is in this context that embodiments described in the present disclosure arise.

Summary

[0005] Embodiments of the disclosure provide systems, apparatus, methods and computer programs for determining that there is a fault in a heater system within a plasma chamber during processing of a substrate. It should be appreciated that the present embodiments can be implemented in numerous ways, e.g., a process, an apparatus, a system, a device, or a method on a computer readable medium. Several embodiments are described below.

[0006] In some embodiments, a method to detect hardware failures in a resistor array, which is addressed by a passive matrix, of the heater system is described. The resistor array is used in an electrostatic chuck (ESC). The method provides an advantage of being robust in a wide range of temperature and process parameters that are applied to the plasma chamber in which the multiple heaters, such as multiple resistors, of the heater system are located. The method is applied in real time and does not require any setup time or cost. The method prevents scrap wafers that are produced in case a fault within the heater system is not detected.

[0007] Multiple resistors in the resistor array are used as electric heaters to control temperature spatially within the plasma chamber. The resistor array is controlled using passive matrix addressing. The resistor array is built as a mesh of crossed conductive wires, such as x-buses and y-buses. Sometimes, an x-bus is referred to herein as a bus x and a y-bus is referred to herein as a bus y. A resistor and a rectifier, such as a diode, are connected in series between a node on an x-bus and a node on a y-bus to form a control element, which is sometimes referred to herein as a circuit. The rectifier prevents unintended cross-addressing of the nodes, such that when a specific node is addressed, electrical current flows through that node, while all the other nodes do not receive any current. There are several failure modes for the passive matrix, such as rectifier failure in open or short circuit, bus failure, resistor failure in open or short circuit, and dramatic change of resistance of a resistor of the resistor array. The dramatic change in the resistance is not related to temperature coefficient resistance (TCR) effects. For example, the resistance does not change substantially with a change in the temperature within the plasma chamber. The resistance changes dramatically as a result of one of the failure modes. It is useful to identify a failure in the heater system in a timely manner to minimize consequences, such as production of the scrap wafers, etc., related to a malfunction of the passive matrix.

[0008] In some embodiments, a fault detection method that is sensitive to the failures of the passive matrix without a need of a reference for an expected current range is described. All the control elements of the passive matrix that are addressed are grouped into N groups, where N is an integer greater than zero. One of several grouping approaches is applied. For example, the nodes of the passive matrix are grouped based on symmetry of the passive matrix and based on similarities in physical or design properties of the control elements. Examples of the physical or design properties include resistance of a resistor and/or a resistor of a rectifier of a control element, an amount of applied voltage to the control element, or an amount of a nominal current passing through the control element. Each group contains multiple control elements that are coupled to multiple x and y buses. Electrical current of each control element of the heater system is measured. For each of the groups of the heater system, statistical tests are applied to detect outliers in the measured electrical current. To detect the outliers, one of several approaches is utilized, such as, maximum and minimum values, standard deviation within the group, variance of the group, sum of squared residuals of the group, and machine learning. [0009] In some embodiments, a method for identifying a fault in a heater array used in substrate support of the plasma chamber is described. The method includes identifying a group of heater nodes from the heater array. The heater array includes first addressable buses and second addressable buses. The group includes a subset of the first addressable buses and a subset of the second addressable buses. The method includes supplying a current to each of the heater nodes of the group by addressing the each of the heater nodes using the first and second addressable buses of the heater array. The method includes qualifying a plurality of current outputs measured responsive to supplying the current to each of the heater nodes. The method includes identifying one or more of the plurality of current outputs as being outside a range of clustering produced by the plurality of current outputs for the group. The fault is identified when one or more of the plurality of current outputs is outside the range of clustering.

[0010] In various embodiments, a computer system for identifying a fault in the heater array used in the substrate support of the plasma chamber is described. The computer system includes a processor. The processor is configured to identify a group of heater nodes from the heater array. The heater array includes a number of the heater nodes, which are addressed by the x buses and the y buses. The group includes a subset of the heater nodes, which are connected by a subset of the x buses and a subset of the y buses. The processor is further configured to control a supply of current to each of the heater nodes of the group by addressing the heater node using a corresponding one of the x buses and a corresponding one of the y buses of the heater array. The processor is configured to qualify a plurality of current outputs measured responsive to the supply of the current to each of the heater nodes. The processor is configured to identify one or more of the plurality of current outputs as being outside a range of clustering produced by the plurality of current outputs for the group. The fault is identified when one or more of the plurality of current outputs is outside the range of clustering.

[0011] In some embodiments, a method for detecting a fault in the heater system within the plasma chamber is described. The method includes controlling a plurality of switches to allow passage of current via a first circuit of the heater system, receiving a first measurement that includes an amount of the current flowing through the first circuit, and controlling the plurality of switches to allow passage of current via a second circuit of the heater system. The method further includes receiving a second measurement that includes an amount of the current flowing through the second circuit, controlling the plurality of switches to allow passage of current via a third circuit of the heater system, and receiving a third measurement that includes an amount of the current flowing through the third circuit. The method includes calculating a range based on the first, second, and third measurements determining whether the first measurement, second, and third measurements are within the range, and determining that the heater system is faulty in response to determining that the first measurement or the second measurement or the third measurement does not lie within the range.

[0012] Some advantages of the fault detection methods, described herein, include an ability to detect multiple failure modes of the passive matrix without a need of a reference baseline, such as a reference look-up table. An example of the reference baseline is a baseline created before processing of the substrate. In the methods described herein, the reference baseline is not used. The non-use of the reference baseline enables robust operation of the passive matrix across a wide range of operating conditions, such as, different temperatures of the plasma chamber and different processes, while saving time and effort of creation of the reference baseline. There is no processing downtime needed to create the reference baseline. The fault detection methods, described herein, improve detection sensitivity and identify a group of control elements within the heater system that drifts out of a determined range.

[0013] Additional advantages of the herein described fault detection methods include minimizing wafer scrap during processing of the substrate due to a failure in the heater system. As soon as a fault in the heater system is determined, the processing of the substrate is stopped, which saves the substrate being processed and additional substrates to be processed within the plasma chamber from being wasted.

[0014] Other aspects will become apparent from the following detailed description, taken in conjunction with the accompanying drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

[0015] The embodiments may best be understood by reference to the following description taken in conjunction with the accompanying drawings.

[0016] Figure 1 is a diagram of an embodiment of a system to illustrate determination of a fault within a heater system of a plasma chamber based on a fault within a group A of circuits of the heater system.

[0017] Figure 2 is a diagram of an embodiment of a table to illustrate a method for measuring multiple amounts of current by multiple corresponding current sensors of Figure 1 when a method for determining whether there is a fault in the heater system that includes the group A is executed.

[0018] Figure 3 is an embodiment of a graph to illustrate that a current amount that is measured by a current sensor of Figure 1 and a current amount that is measured by another current sensor of Figure 1 are outliers of the group A.

[0019] Figure 4 is a diagram of an embodiment of a system to illustrate determination of a fault within the heater system of the plasma chamber based on a fault within a group B of circuits of the heater system.

[0020] Figure 5 is a diagram of an embodiment of a table to illustrate a method for measuring multiple amounts of current by multiple current sensors of Figure 4 when a method for determining whether there is a fault in the heater system that includes the group B is executed.

[0021] Figure 6A- 1 is an embodiment of a graph to illustrate that multiple current amounts that are measured by multiple current sensors of Figure 4 are outliers of the group B.

[0022] Figure 6A-2 is an embodiment of a graph to illustrate shifting of ranges associated with the groups A and B with a change in temperature within the plasma chamber.

[0023] Figure 6B is an embodiment of a graph to illustrate a range of clustering RNGcluster.

[0024] Figure 7A is a diagram of an embodiment of alarm data that is displayed on a display device of a host computer when a fault in the heater system is determined to occur.

[0025] Figure 7B is an embodiment of the display device of the host computer to illustrate alarm data.

[0026] Figure 8A is an embodiment of a graph to illustrate a plot of a characteristic current variation versus time.

[0027] Figure 8B is an embodiment of a graph to illustrate use of the groups A and B to determine whether the heater system is faulty.

[0028] Figure 9 is a diagram of an embodiment of a system to illustrate generation of alarm data when a processor of the host computer determines that there is a fault in the heater system.

[0029] Figure 10A is a diagram of an embodiment of a chuck to illustrate a physical heater array having multiple circuits along multiple rings of the heater system of Figure 9. [0030] Figure 10B is an embodiment of a logical heater array to illustrate logical connections between multiple circuits of the chuck of Figure 10A.

[0031] Figure 11 is an embodiment of a flowchart to illustrate a method for identifying a fault in the heater system of Figure 9.

DETAILED DESCRIPTION

[0032] The following embodiments describe systems and methods for determination of a fault within a heater system of a plasma chamber. It will be apparent that the present embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present embodiments.

[0033] Figure 1 is a diagram of an embodiment of a system 100 to illustrate detection of a fault within the heater system of the plasma chamber. Examples of the fault in the heater system includes a fault in a component of the heater system, such as a fault in a rectifier, a fault in a resistor of the heater system, a fault in a bus of the heater system, and a fault in a switch that is coupled to the heater system. Examples of a fault, as used herein, include a short circuit or an open circuit or a breakage or a malfunction or a non operation of the component of the heater system. The system 100 includes the heater system, which further includes a group A of circuits. A resistor, as used herein, is sometimes referred to herein as a heater or a heater element. Multiple resistors are located within the heater system.

[0034] The group A includes multiple circuits of multiples diodes and resistors and multiple buses, such as multiple x buses and multiple y buses. For example, the group A includes a circuit Cl of a diode Dl and a resistor Rl, and the diode Dl is coupled in series with the resistor Rl. The group A further includes another circuit C2 of a diode D2 and a resistor R2, and the diode D2 is coupled in series with the resistor R2. The group A includes yet another circuit C3 of a diode D3 and a resistor R3, and the diode D3 is coupled in series with the resistor R3. The group A includes a circuit C4 of a diode D4 and a resistor R4, and the diode D4 is coupled in series with the resistor R4. To illustrate, a second terminal of the diode Dl is coupled to a first terminal of the resistor Rl, a second terminal of the diode D2 is coupled to a first terminal of the resistor R2, a second terminal of the diode D3 is coupled to a first terminal of the resistor R3, and a second terminal of the diode D4 is coupled to a first terminal of the resistor R4.

[0035] The group A is a matrix of diodes and resistors. For example, the circuit Cl is coupled to a bus yl and a bus xl, the circuit C2 is coupled to a bus y2 and the bus xl, the circuit C3 is coupled to the bus yl and a bus x2, and the circuit C4 is coupled to the bus y2 and the bus x2. To illustrate, a first terminal of the diode Dl is coupled to the bus yl and a second terminal of the resistor Rl is coupled to the bus xl, a first terminal of the diode D2 is coupled to the bus y2 and a second terminal of the resistor R2 is coupled to the bus xl, a first terminal of the diode D3 is coupled to the bus yl and a second terminal of the resistor R3 is coupled to the bus x2, and a first terminal of the diode D4 is coupled to the bus y2 and a second terminal of the resistor R4 is coupled to the bus x2. As another illustration, the circuit Cl is coupled to and between the buses yl and xl, the circuit C2 is coupled to and between the buses y2 and xl, the circuit C3 is coupled to and between the buses yl and x2, and the circuit C4 is coupled to and between the buses y2 and x2. The buses yl and y2 are shown as parallel to each other but in some embodiments, are not parallel to each other. Similarly, the buses xl and x2 are shown as parallel to each other but in some embodiments, are not parallel to each other. Each bus, as described herein, is a conductor of electrical current, such as a wire. The group A includes the bus yl, the bus y2, the bus xl, and the bus x2.

[0036] The bus yl is coupled to a switch Syl and the bus y2 is coupled to a switch Sy2. Similarly the bus xl is coupled to a switch Sxl and the bus x2 is coupled to a switch Sx2. An example of a switch, as used herein, includes one or more transistors. Another example of a switch, as used herein, includes one or more relays. The switches Syl and Sy2 are coupled to a voltage source Vs, the switch Sxl is coupled to a current (I) sensor 102 A, and the switch Sx2 is coupled to another current sensor 102B. For example, a current sensor, as used herein, is a device that detects electric current in a wire that is coupled to the current sensor, and generates a signal proportional to or equal to that current. As another example, a current sensor, is a resistor across which a voltage drop is measured to determine an amount of current flowing through the resistor.

[0037] The system 100 further includes a printed circuit board assembly (PCBA), which includes a multiplexer (MUX) that is coupled to the switches Sxl, Sx2, Syl, and Sy2. The PCBA includes a processor and the multiplexer is coupled to the processor. As used herein, a processor is an application specific integrated circuit (ASIC), or a programmable logic device (PLD), or a central processing unit (CPU), or a microprocessor, or a microcontroller. Examples of a memory device, as used herein, include a random access memory (RAM) and a read-only memory (ROM). To illustrate, a memory device is a flash memory, a hard disk, or a storage device, etc. A memory device is an example of a computer-readable medium. The current sensors 102A and 102B are coupled to the processor of the PCBA. [0038] The system 100 includes a host computer. Examples of the host computer include a desktop computer, a controller, a tablet, a server, a laptop computer, and a smart phone, etc. The host computer includes a processor, an input device, a memory device, and a display device, all of which are coupled to each other via a bus. Examples of the input device include a keyboard, a stylus, a keypad, a touchpad, and a board having multiple buttons for receiving a command or information from a user. Examples of the display device include a liquid crystal display (LCD), a plasma display, and a light emitting diode (LED) display device. The processor of the host computer is coupled to the processor of the PCBA via a transfer cable, examples of which are provided below.

[0039] In some embodiments, instead of the voltage source Vs, a current source is used to supply a current to the buses yl and y2.

[0040] It should be noted that although the circuits Cl through C4 are shown to be located in an adjacent manner within the group A, in some embodiments, the circuits Cl through C4 are not located in the adjacent manner. For example, the circuit C3 is not adjacent to the circuit Cl. Rather, the circuit C3 is located one or more buses apart from the circuit Cl. As another example, the circuit C2 is located two or three buses apart from the circuit Cl. In various embodiments, the circuits Cl through C4 are arbitrarily determined by the processor of the host computer to be a part of the group A. For example, the circuits Cl through C4 are not parts of a ring of the heater system or do not output amounts of current that within a pre determined current range from each other, or do not have amounts of voltage that are within a pre-determined voltage range from each other.

[0041] Figure 2 is a diagram of an embodiment of a table 200 to illustrate a method for measuring multiple amounts 18, 14, 15, and 13 of current by the corresponding current sensors 102 A and 102B of Figure 1 when a method for determining whether there is a fault in the heater system that includes the group A is executed. The amounts 18, 14, 15, and 13 of current are further described below with reference to Figure 3. The processor of the host computer sends a control circuit Cl signal to the processor of the PCBA of Figure 1 to turn on the switches Sxl and Syl of Figure 1, and to turn off the switches Sx2 and Sy2 of Figure 1. Upon receiving the control circuit Cl signal, the processor of the PCBA sends a select circuit Cl signal to the multiplexer. Upon receiving the select circuit Cl signal, the multiplexer sends signals to the switches Sxl and Syl to turn on the switches Sxl and Syl and does not send signals to the switches Sx2 and Sy2 so that the switches Sx2 and Sy2 are turned off or remain turned off. [0042] When the switches Sxl and Syl are turned on and the switches Sx2 and Sy2 are turned off, a current signal having an amount of current ID1R1 flows from the voltage source Vs via a path 1, illustrated as a dashed line in Figure 1, to a ground potential, which is coupled to the current sensor 102A. The path 1 includes the switch Syl, the bus yl, the diode Dl, the resistor Rl, the bus xl, the switch Sxl, and the current sensor 102A. There is a fault in the diode D4. Due to the fault in the diode D4, the diode D4 is short-circuited, and an amount of current lx flows from the voltage source Vs via a path x, illustrated as a dashed line in Figure 1, to the ground potential coupled to the current sensor 102A. For example, the path x includes the switch Syl, bus yl, the diode D3, the resistor R3, the bus x2, the resistor R4, the diode D4, the diode D2, the resistor R2, the bus x2, the switch Sxl, and the current sensor 102A. The current sensor 102A measures a sum of the amount ID1R1 and the amount lx as the amount 18 of current.

[0043] After sending the control circuit Cl signal, the processor of the host computer sends a control circuit C2 signal to the processor of the PCBA to turn on the switches Sxl and Sy2, and to turn off the switches Sx2 and Syl. Upon receiving the control circuit C2 signal, the processor of the PCBA sends a select circuit C2 signal to the multiplexer. Upon receiving the select circuit C2 signal, the multiplexer sends signals to the switches Sxl and Sy2 to turn on the switches Sxl and Sy2 and does not send signals to the switches Sx2 and Syl so that the switches Sx2 and Syl are turned off or remain turned off.

[0044] When the switches Sxl and Sy2 are turned on and the switches Sx2 and Syl are turned off, a current signal having an amount of current ID2R2 flows from the voltage source Vs via a path 2, illustrated as a dashed line in Figure 1, to the ground potential coupled to the current sensor 102A. The path 2 includes the switch Sy2, the bus y2, the diode D2, the resistor R2, the bus xl, the switch Sxl, and the current sensor 102A. The current sensor 102 A measures the amount ID2R2, such as the amount 14 of current.

[0045] After sending the control circuit C2 signal, the processor of the host computer sends a control circuit C3 signal to the processor of the PCBA to turn on the switches Sx2 and Syl, and to turn off the switches Sxl and Sy2. Upon receiving the control circuit C3 signal, the processor of the PCBA sends a select circuit C3 signal to the multiplexer. Upon receiving the select circuit C3 signal, the multiplexer sends signals to the switches Sx2 and Syl to turn on the switches Sx2 and Syl and does not send signals to the switches Sxl and Sy2 so that the switches Sxl and Sy2 are turned off or remain turned off. [0046] When the switches Syl and Sx2 are turned on and the switches Sxl and Sy2 are turned off, a current signal having an amount of current 15 flows from the voltage source Vs via a path 3, illustrated using a dashed line in Figure 1, to a ground potential coupled to the current sensor 102B. The path 3 includes the switch Syl, the bus yl, the diode D3, the resistor R3, the bus x2, the switch Sx2, and the current sensor 102B. The current sensor 102B measures the amount 15 of current.

[0047] After sending the control circuit C3 signal, the processor of the host computer sends a control circuit C4 signal to the processor of the PCBA to turn on the switches Sx2 and Sy2, and to turn off the switches Sxl and Syl. Upon receiving the control circuit C4 signal, the processor of the PCBA sends a select circuit C4 signal to the multiplexer. Upon receiving the select circuit C4 signal, the multiplexer sends signals to the switches Sx2 and Sy2 to turn on the switches Sx2 and Sy2 and does not send signals to the switches Sxl and Syl so that the switches Sxl and Syl are turned off or remain turned off.

[0048] When the switches Sy2 and Sx2 are turned on and the switches Sxl and Syl are turned off, a current signal having an amount of current ID4R4 flows from the voltage source Vs via a path 4, illustrated using a dashed line in Figure 1, to the ground potential coupled to the current sensor 102B. The path 4 includes the switch Sy2, the bus y2, the diode D4, the resistor R4, the bus x2, the switch Sx2, and the current sensor 102B. The current sensor 102B measures the amount ID4R4, such as the amount 13 of current.

[0049] The processor of the PCBA receives the measured amounts 18 and 14 of current from the current sensor 102 A and receives the measured amounts 15 and 13 of current from the current sensor 102B and provides the amounts 18, 14, 15, and 13 to the processor of the host computer. The amounts 18, 14, 15, and 13 are stored in the memory device of the host computer by the processor of the host computer.

[0050] The processor of the host computer determines a statistical range of the group A from the amounts 18, 14, 15, and 13. Examples of a statistical range of a group include a standard deviation, a variance, a range determined based on a minimum value and a maximum value, and a sum of squared residuals, etc. The minimum value based on which the range for the group A is generated is a minimum of the amounts 18, 14, 15, and 13 and the maximum value based on which the range for the group A is generated is a maximum of the amounts 18, 14, 15, and 13. The range for the group A is determined by the processor of the host computer by subtracting the minimum value of the group A from the maximum value of the group A. As an example of the determination of the statistical range, the processor of the host computer calculates a standard deviation of the amounts 18, 14, 15, and 13 or a variance of the amounts 18, 14, 15, and 13, and the standard deviation and the variance are examples of the statistical range of the group A. As another example, the processor of the host computer determines an average of the amounts 18, 14, 15, and 13, and determines the statistical range to be a pre-determined range having a pre-determined upper limit greater than the average and a pre-determined lower limit less than the average. The pre-determined upper and lower limits are stored in the memory device of the host computer. An example of the pre-determined upper limit is a value that is at most 50% greater than the average and an example of the pre determined lower limit is a value that is at most 50% lower than the average. The statistical range of the group A is stored in the memory device of the host computer by the processor of the host computer.

[0051] The processor of the host computer determines whether one or more of the amounts 18, 14, 15, and 13 is outside pre-determined bounds of the statistical range of the group A. It should be noted that in some embodiments, the terms statistical range and pre determined statistical range are used herein interchangeably. The pre-determined bounds of the statistical range include an upper limit of the statistical range and a lower limit of the statistical range. Upon determining that the one or more of the amounts 18, 14, 15, and 13 is outside the pre-determined bounds of the statistical range of the group A, the processor of the host computer determines that there is a fault in a heater system. For example, the processor of the host computer determines that the amount 18 does not match an amount within the statistical range for the group A to determine that there is a fault in a heater system. On the other hand, upon determining that all the amounts 18, 14, 15, and 13 are within the statistical range of the group A, the processor of the host computer determines that there is no fault in the heater system, such as. For example, the processor of the host computer determines that the amounts 18, 14, 15, and 13 match amounts within the statistical range for the group A to determine that the heater system is operational.

[0052] It should be noted that when two of the switches Sxl, Sx2, Syl, and Sy2 are turned off and none of the circuits of the heater system have a fault, there is none or a minimal amount of current passing through two of the circuits Cl through C4 that are controlled by the turned off switches. For example, when the switches Sy2 and Sx2 are turned off and none of the circuits of the heater system have a fault, there is none or minimal amount of current passing through the circuit C4. As another example, when the switches Sy2 and Sxl are turned off and none of the circuits of the heater system have a fault, there is none or minimal amount of current passing through the circuit C2. As yet another example, when the switches Syl and Sx2 are turned off and none of the circuits of the heater system have a fault, there is none or minimal amount of current passing through the circuit C3.

[0053] It should further be noted that in some embodiments, an order in which the control circuit signals are sent from the processor of the host computer to control the switches Sxl, Sx2, Syl, and Sy2 is different from that described above. For example, the control circuit Cl signal is sent first, the control circuit C3 signal is sent second, the control circuit C2 signal is sent third, and the control circuit signal C4 is sent fourth in a consecutive order from first through fourth. As another example, the control circuit C2 signal is sent first, the control circuit Cl signal is sent second, the control circuit C3 signal is sent third, and the control circuit C4 signal is sent fourth in a consecutive order from first through fourth.

[0054] In some embodiments, the PCBA is included within the host computer. In various embodiments, instead of the processor of the PCBA controlling the multiplexer, the processor of the host computer generates and sends the select circuit signals, described above, to control the multiplexer and there is no need to generate the control circuit signals described above.

[0055] In several embodiments, instead of the amounts of current that are measured by the current sensors 102 A and 102B being received by the processor of the PCBA, the amounts of current are received by the processor of the host computer from the current sensors 102A and 102B. The processor of the host computer is coupled to the current sensors 102A and 102B.

[0056] Figure 3 is an embodiment of a graph 300 to illustrate that the current amount 18 that is measured by the current sensor 102 A of Figure 1 is an outlier of the group A. An outlier, as described herein, of a group, as described herein, is not within a statistical range of the group. The graph 300 plots current that is measured by the current sensors 102A and 102B for the circuits Cl through C4. The current, such as a current amount II, a current amount 12, the current amount 13, the current amount 14, the current amount 15, a current amount 16, a current amount 17, the current amount 18, a current amount 19, and a current amount 110, is plotted in the graph 300 on a y-axis and identifiers Cl through C4 of the circuits Cl through C4 are plotted on an x-axis. As shown in the graph 300, RNG1 is an example of the statistical range of the group A. The range RNG1 ranges from and including the current amount 13 to the current amount 16. Because of the faulty diode D4, the current amount 18 measured by the current sensor 102A falls outside the range RNG1.

[0057] In some embodiments, multiple circuits, such as the circuits Cl and C3, are addressed simultaneously, instead of consecutively, to pass amounts of current from the voltage source Vs via the multiple circuits. Upon passage of the amounts of current via the multiple circuits, the currents sensors 102A and 102B measure amounts of current. The amounts of current measured are provided from the current sensors 102A and 102B to the processor of the PCBA. The processor of the PCBA provides the amounts of current measured to the processor of the host computer. The processor of the host computer calculates the statistical range for the group A from the amounts of current measured. Upon determining that one or more of the amounts of current measured are outside the statistical range for the group A, the processor of the host computer determines that the heater system has a fault. On the other hand, upon determining that all of the amounts of current measured are within the statistical range for the group A, the processor of the host computer determines that the heater system does not have a fault, e.g., is not malfunctioning, is operational, etc.

[0058] In various embodiments, addressing a circuit of the heater system includes controlling the multiplexer to send a signal to two of a plurality of switches, described herein, to enable a supply of current from the voltage source to a circuit that is coupled to the two switches via an x bus and a y bus. For example, the circuit Cl of Figure 1 is addressed when the processor of the host computer sends the control circuit Cl signal to allow generation of the select circuit Cl signal. The select circuit Cl signal is sent to the multiplexer, which generates signals to turn on the switches Sxl and Syl of Figure 1 to further allow passage of an amount of current from the voltage source via the path 1 and the circuit Cl to the current sensor 102 A of Figure 1.

[0059] Figure 4 is a diagram of an embodiment of a system 400 to illustrate detection of a fault within the heater system of the plasma chamber based on a fault within a group B of circuits of the heater system. The system 100 includes the heater system, which further includes the group B of circuits. The group B includes multiple circuits of multiples diodes and resistors. For example, the group B includes a circuit C5 of a diode D5 and a resistor R5, and the diode D5 is coupled in series with the resistor R5. The group B further includes another circuit C6 of a diode D6 and a resistor R6, and the diode D6 is coupled in series with the resistor R6. The group B includes yet another circuit C7 that includes a diode D7 and a resistor R7, and the diode D7 is coupled in series with the resistor R7. The group B includes a circuit C8 that includes a diode D8 and a resistor R8, and the diode D8 is coupled in series with the resistor R8. The group B further includes a circuit C9 that has a diode D9 and a resistor R9, and the diode D9 is coupled in series with the resistor R9. The group B includes a circuit C10 having a diode D10 and a resistor R10, and the diode D10 is coupled in series with the resistor R10. To illustrate, a second terminal of the diode D5 is coupled to a first terminal of the resistor R5, a second terminal of the diode D6 is coupled to a first terminal of the resistor R6, a second terminal of the diode D7 is coupled to a first terminal of the resistor R7, Also, a second terminal of the diode D8 is coupled to a first terminal of the resistor R8, a second terminal of the diode D9 is coupled to a first terminal of the resistor R9, and a second terminal of the diode D10 is coupled to a first terminal of the resistor R10.

[0060] Similar to the group A, the group B is a matrix of diodes and resistors. For example, the circuit C5 is coupled to a bus y3 and a bus x3, the circuit C6 is coupled to a bus y4 and the bus x3, the circuit C7 is coupled to a bus y5 and the bus x3, the circuit C7 is coupled to the bus y3 and a bus x4, the circuit C9 is coupled to the bus y4 and the bus x4, and the circuit C10 is coupled to the bus y5 and the bus x4. To illustrate, a first terminal of the diode D5 is coupled to the bus y3 and a second terminal of the resistor R5 is coupled to the bus x3, a first terminal of the diode D6 is coupled to the bus y4 and a second terminal of the resistor R6 is coupled to the bus x3, a first terminal of the diode D7 is coupled to the bus y5 and a second terminal of the resistor R7 is coupled to the bus x3, a first terminal of the diode D8 is coupled to the bus y3 and a second terminal of the resistor R8 is coupled to the bus x4, a first terminal of the diode D9 is coupled to the bus y4 and a second terminal of the resistor R9 is coupled to the bus x4, and a first terminal of the diode D10 is coupled to the bus y5 and a second terminal of the resistor R10 is coupled to the bus x4. As another illustration, the circuit C5 is coupled to and between the buses y3 and x3, the circuit C6 is coupled to and between the buses y4 and x3, the circuit C7 is coupled to and between the buses y5 and x3, the circuit C8 is coupled to and between the buses y3 and x4, the circuit C9 is coupled to and between the buses y4 and x4, and the circuit C10 is coupled to and between the buses y5 and x4. The buses y4 through y6 are shown as parallel to each other but in some embodiments, are not parallel to each other. Similarly, the buses x3 and x4 are shown as parallel to each other but in some embodiments, are not parallel to each other. The group A includes the bus x3, the bus x4, the bus y3, the bus y4, and the bus y6.

[0061] The bus y3 is coupled to a switch Sy3, the bus y4 is coupled to a switch Sy4, and the bus y5 is coupled to a switch Sy5. Similarly the bus x3 is coupled to a switch Sx3 and the bus x4 is coupled to a switch Sx4. The switches Sy3 through Sy5 are coupled to the voltage source Vs, the switch Sx3 is coupled to a current sensor 402 A, and the switch Sx4 is coupled to another current sensor 402B.

[0062] The system 400 further includes the PCBA and the multiplexer is coupled to the switches Sx3, Sx4, Sy3, Sy4, and Sy5. The current sensors 402 A and 402B are coupled to the processor of the PCBA. The system 400 includes the host computer. [0063] In some embodiments, a group, such as the group A or group B, includes any other number of circuits. For example, the group includes sixteen diodes and sixteen resistors, and each of the diodes is coupled in series with a corresponding one of the resistors. As another example, the group includes any other number of x and y buses. To illustrate, the group includes four x buses and four y buses.

[0064] In various embodiments, a placement of a diode and a resistor that is coupled to the diode is changed. For example, instead of a first terminal of a diode being coupled to a y bus, instead of a second terminal of the diode being coupled to a first terminal of a resistor, instead of a second terminal of the resistor being coupled to an x bus, the first terminal of the resistor is coupled to the y bus, the second terminal of the resistor is coupled to the first terminal of the diode, and the second terminal of the diode is coupled to the x bus.

[0065] In some embodiments, instead of the voltage source Vs, the current source, mentioned above, is used to supply a current to the buses y3 through y5.

[0066] In several embodiments, the group A is a part of an inner ring of the heater system and the group B is a part of an outer ring of the heater system. The inner ring and the outer ring are concentric and the outer ring surrounds the inner ring.

[0067] In various embodiments, the processor of the host computer system selects the circuits Cl through C4 to be within the group A because the circuits Cl through C4 output amounts of current that within a pre-determined current range from each other and/or have amounts of voltage that are within a pre-determined voltage range from each other.

[0068] Similarly, in several embodiments, the processor of the host computer system selects the circuits C5 through C10 to be within the group B because the circuits C5 through C10 output amounts of current that within a pre-determined current range from each other and/or have amounts of voltage that are within a pre-determined voltage range from each other.

[0069] In some embodiments, the groups A and B are the only two groups of the heater system. In various embodiments, there are one or more additional groups of circuits of the heater system in addition to the groups A and B of the heater system.

[0070] In various embodiments, at least one or more circuits of the groups A and B but not all of the circuits of the groups A and B are common. For example, the bus yl, the circuit Cl, and the circuit C2 of Figure 1 are a part of the group B. As another example, the bus x2, the circuit C3, and the circuit C4 of Figure 1 are a part of the group B. As yet another example, the bus y3, the circuit C5, and the circuit C8 are a part of the group A. As another example, the bus x4, the circuit C8, the circuit C9, and the circuit C10 are a part of the group A.

[0071] In some embodiments, instead of the amounts of current that are measured by the current sensors 402A and 402B being received by the processor of the PCBA, the amounts of current are received by the processor of the host computer from the current sensors 402A and 402B. The processor of the host computer is coupled to the current sensors 402 A and 402B.

[0072] It should be noted that although the circuits C5 through C 10 are shown to be located in an adjacent manner within the group B, in some embodiments, the circuits C5 through C10 are not located in the adjacent manner. For example, the circuit C8 is not adjacent to the circuit C5. Rather, the circuit C8 is located two buses apart from the circuit C5. As another example, the circuit C7 is located two or three buses apart from the circuit C6. In various embodiments, the circuits C5 through C10 are arbitrarily determined by the processor of the host computer to be part of the group B. For example, the circuits C5 through C10 are not parts of a ring of the heater system or do not output amounts of current that within a pre-determined current range from each other, or do not have amounts of voltage that are within a pre-determined voltage range from each other.

[0073] Figure 5 is a diagram of an embodiment of a table 500 to illustrate a method for measuring multiple amounts 19, 15, 110, 13.5, Il l, and 14 of current by the current sensors 402A and 402B of Figure 4 when a method for determining whether there is a fault in the heater system that includes the group B is executed. The amounts 19, 15, 110, 13.5, Ill, and 14 of current are illustrated in Figure 6A-1 below. The processor of the host computer sends a control circuit C5 signal to the processor of the PCBA of Figure 4 to turn on the switches Sx3 and Sy3 of Figure 4, and to turn off the switches Sx4, Sy4, and Sy5 of Figure 4. Upon receiving the control circuit C5 signal, the processor of the PCBA sends a select circuit C5 signal to the multiplexer of Figure 4. Upon receiving the select circuit C5 signal, the multiplexer sends signals to the switches Sx3 and Sy3 to turn on the switches Sx3 and Sy3 and does not send signals to the switches Sx4, Sy4, and Sy5 so that the switches Sx4, Sy4, and Sy5 are turned off or remain turned off.

[0074] When the switches Sx3 and Sy3 are turned on and the switches Sx4, Sy4, and Sy5 are turned off, a current signal having an amount of current ID5R5 flows from the voltage source Vs via a path associated with the amount ID5R5 to a ground potential, which is coupled to the current sensor 402A. The path associated with the amount ID5R5 includes the switch Sy3, the bus y3, the diode D5, the resistor R5, the bus x3, the switch Sx3, and the current sensor 402 A. There is a fault one or more of the diodes Dl through D4 of Figure 1 and/or in one or more of the diodes D6 through D10 of Figure 4. Due to the fault in one or more of the diodes Dl through D4 and/or in one or more of the diodes D6 through D10, a greater amount of current, such as the amount 19, is measured by the current sensor 402A, than the amount ID5R5.

[0075] After sending the control circuit C5 signal, the processor of the host computer sends a control circuit C6 signal to the processor of the PCBA to turn on the switches Sx3 and Sy4, and to turn off the switches Sy3, Sy5, and Sx4. Upon receiving the control circuit C6 signal, the processor of the PCBA sends a select circuit C6 signal to the multiplexer. Upon receiving the select circuit C6 signal, the multiplexer sends signals to the switches Sx3 and Sy4 to turn on the switches Sx3 and Sy4 and does not send signals to the switches Sy3, Sy5, and Sx4 so that the switches Sy3, Sy5, and Sx4 are turned off or remain turned off.

[0076] When the switches Sx3 and Sy4 are turned on and the switches Sy3, Sy5, and Sx4 are turned off, a current signal having an amount of current ID6R6 flows from the voltage source Vs via a path associated with the circuit C6 to the ground potential coupled to the current sensor 402A. The path associated with the circuit C6 includes the switch Sy4, the bus y4, the diode D6, the resistor R6, the bus x3, the switch Sx3, and the current sensor 402A. The current sensor 402A measures the amount ID6R6, such as the amount 15 of current.

[0077] After sending the control circuit C6 signal, the processor of the host computer sends a control circuit C7 signal to the processor of the PCBA to turn on the switches Sx3 and Sy5, and to turn off the switches Sy3, Sy4, and Sx4. Upon receiving the control circuit C7 signal, the processor of the PCBA sends a select circuit C7 signal to the multiplexer. Upon receiving the select circuit C7 signal, the multiplexer sends signals to the switches Sx3 and Sy5 to turn on the switches Sx3 and Sy5 and does not send signals to the switches Sy3, Sy4, and Sx4 so that the switches Sy3, Sy4, and Sx4 are turned off or remain turned off.

[0078] When the switches Sx3 and Sy5 are turned on and the switches Sy3, Sy4, and Sx4 are turned off, a current signal having an amount of current ID7R7 flows from the voltage source Vs via a path associated with the circuit C7 to the ground potential coupled to the current sensor 402 A. The path associated with the circuit C7 includes the switch Sy5, the bus y5, the diode D7, the resistor R7, the bus x3, the switch Sx3, and the current sensor 402A. The current sensor 402A measures an amount, such as the amount 110, of current which is greater than the amount ID7R7 due to a fault in one or more of the diodes Dl through D4 of Figure 1 and/or a fault in one of more of the diodes D5, D6, D8, D9, and D10.

[0079] After sending the control circuit C7 signal, the processor of the host computer sends a control circuit C8 signal to the processor of the PCBA to turn on the switches Sx4 and Sy3, and to turn off the switches Sy4, Sy5, and Sx3. Upon receiving the control circuit C8 signal, the processor of the PCBA sends a select circuit C8 signal to the multiplexer. Upon receiving the select circuit C8 signal, the multiplexer sends signals to the switches Sx4 and Sy3 to turn on the switches Sx4 and Sy3 and does not send signals to the switches Sy4, Sy5, and Sx3 so that the switches Sy4, Sy5, and Sx3 are turned off or remain turned off.

[0080] When the switches Sx4 and Sy3 are turned on and the switches Sy4, Sy5, and Sx3 are turned off, a current signal having an amount of current ID8R8 flows from the voltage source Vs via a path associated with the circuit C8 to the ground potential coupled to the current sensor 402B. The path associated with the circuit C8 includes the switch Sy3, the bus y3, the diode D8, the resistor R8, the bus x4, the switch Sx4, and the current sensor 402B. The current sensor 402B measures the amount ID8R8, such as an amount 13.5 of current.

[0081] After sending the control circuit C8 signal, the processor of the host computer sends a control circuit C9 signal to the processor of the PCBA to turn on the switches Sx4 and Sy4, and to turn off the switches Sy3, Sy5, and Sx3. Upon receiving the control circuit C9 signal, the processor of the PCBA sends a select circuit C9 signal to the multiplexer. Upon receiving the select circuit C9 signal, the multiplexer sends signals to the switches Sx4 and Sy4 to turn on the switches Sx4 and Sy4 and does not send signals to the switches Sy3, Sy5, and Sx3 so that the switches Sy3, Sy5, and Sx3 are turned off or remain turned off.

[0082] When the switches Sx4 and Sy4 are turned on and the switches Sy3, Sy5, and Sx3 are turned off, a current signal having an amount of current ID9R9 flows from the voltage source Vs via a path associated with the circuit C9 to the ground potential coupled to the current sensor 402B. The path associated with the circuit C9 includes the switch Sy4, the bus y4, the diode D9, the resistor R9, the bus x4, the switch Sx4, and the current sensor 402B. The current sensor 402B measures an amount, such as the amount Ill, of current which is greater than the amount ID9R9 due to a fault in one or more of the diodes Dl through D4 of Figure 1 and/or a fault in one of more of the diodes D5, D6, D7, D8, and D10. [0083] After sending the control circuit C9 signal, the processor of the host computer sends a control circuit C10 signal to the processor of the PCBA to turn on the switches Sx4 and Sy5, and to turn off the switches Sy3, Sy4, and Sx3. Upon receiving the control circuit C10 signal, the processor of the PCBA sends a select circuit C10 signal to the multiplexer. Upon receiving the select circuit C10 signal, the multiplexer sends signals to the switches Sx4 and Sy5 to turn on the switches Sx4 and Sy5 and does not send signals to the switches Sy3, Sy4, and Sx3 so that the switches Sy3, Sy4, and Sx3 are turned off or remain turned off.

[0084] When the switches Sx4 and Sy5 are turned on and the switches Sy3, Sy4, and Sx3 are turned off, a current signal having an amount of current ID10R10 flows from the voltage source Vs via a path associated with the circuit C10 to the ground potential coupled to the current sensor 402B. The path associated with the circuit C10 includes the switch Sy5, the bus y5, the diode D10, the resistor R10, the bus x4, the switch Sx4, and the current sensor 402B. The current sensor 402B measures the amount ID10R10, such as the amount 14 of current. The amounts 19, 15, 110, 13.5, Il l, and 14 associated with the group B are stored in the memory device of the host computer by the processor of the host computer.

[0085] The processor of the PCBA receives the measured amounts 19, 15, and 110 of current from the current sensor 402 A and receives the measured amounts 13.5, Il l, and 14 of current from the current sensor 402B and provides the amounts 19, 15, 110, 13.5, Il l, and 14 associated with the group B to the processor of the host computer. The processor of the host computer determines a statistical range of the group B from the amounts 19, 15, 110, 13.5, Ill, and 14 associated with the group B. The minimum value from which the range for the group B is determined is a minimum of the amounts 19, 15, 110, 13.5, Il l, and 14 associated with the group B, and the maximum value from which the range for the group B is determined is a maximum of the amounts 19, 15, 110, 13.5, Il l, and 14 associated with the group B. The range for the group B is determined by the processor of the host computer by subtracting the minimum value of the group B from the maximum value of the group B. As an example, the processor of the host computer calculates a standard deviation of the amounts 19, 15, 110, 13.5, Ill, and 14 or a variance of the amounts 19, 15, 110, 13.5, Il l, and 14, and the standard deviation and the variance are examples of the statistical range of the group B. As another example, the processor of the host computer determines an average of the amounts 19, 15, 110, 13.5, Ill, and 14 associated with the group B, and determines the statistical range of the group B to be a pre-determined range having a pre-determined upper limit greater than the average and a pre-determined lower limit less than the average. The statistical range of the group B is stored in the memory device of the host computer by the processor of the host computer.

[0086] The processor of the host computer determines whether one or more of the amounts 19, 15, 110, 13.5, Il l, and 14 associated with the group B is outside the pre determined statistical range of the group B and upon determining that the one or more of the amounts 19, 15, 110, 13.5, Ill, and 14 associated with the group B is outside the statistical range of the group B, the processor of the host computer determines that the heater system has a fault. For example, the processor of the host computer determines that the amount 19 does not match an amount within the statistical range for the group B to determine that the heater system has a fault. On the other hand, upon determining that all the amounts 19, 15, 110, 13.5, Il l, and 14 associated with the group B are within the statistical range for the group B, the processor of the host computer determines that the heater system does not have a fault. For example, the processor of the host computer determines that the amounts 19, 15, 110, 13.5, Ill, and 14 associated with the group B match amounts within the statistical range for the group B to determine that the heater system does not have a fault.

[0087] It should be noted that when two of the switches Sx3, Sx4, Sy3, Sy4, and Sy5 are turned off and none of the circuits of the heater system have a fault, there is none or a minimal amount of current passing through two of the circuits C5 through C10 that are controlled by the turned off switches. For example, when the switches Sy4 and Sx4 are turned off and none of the circuits of the heater system have a fault, there is none or minimal amount of current passing through the circuit C9. As another example, when the switches Sy4 and Sx3 are turned off and none of the circuits of the heater system have a fault, there is none or minimal amount of current passing through the circuit C6. As yet another example, when the switches Sy3 and Sx4 are turned off and none of the circuits of the heater system have a fault, there is none or minimal amount of current passing through the circuit C8.

[0088] It should be noted that in some embodiments, an order in which the control circuit signals are sent from the processor of the host computer to control the switches Sx3, Sx4, Sy3, Sy4, and Sy5 is different from that described above. For example, the control circuit C5 signal is sent first, the control circuit C8 signal is sent second, the control circuit C6 signal is sent third, the control circuit C9 signal is sent fourth, the control circuit C7 signal is sent fifth, and the control circuit C10 signal is sent sixth in a consecutive from first through sixth. As another example, the control circuit C5 signal is sent first, the control circuit C6 signal is sent second, the control circuit C8 signal is sent third, the control circuit C7 signal is sent fourth, the control circuit C10 signal is sent fifth, and the control circuit C9 signal is sent sixth in a consecutive order from first through sixth.

[0089] Figure 6A- 1 is an embodiment of a graph 600 to illustrate that the current amounts 19 and 110 that are measured by the current sensor 402 A of Figure 4 and the current amount Ill that is measured by the current sensor 402B of Figure 4 are outliers of the group B. The graph 600 plots current that is measured by the current sensors 402A and 402B for the circuits C5 through C10 of Figure 4. The current, such as the current amount II, the current amount 12, the current amount 13, the current amount 13.5, the current amount 14, the current amount 15, the current amount 16, the current amount 17, the current amount 18, the current amount 19, the current amount 110, and the current amount Il l, is plotted in the graph 600 on a y-axis and identifiers C5 through C10 of the circuits C5 through C 10 are plotted on an x- axis. As shown in the graph 600, a range RNG2 is an example of the statistical range for the group B. The range RNG2 ranges from and including the current amount 13 to the current amount 17. Because of one or more of faulty diodes from the diodes Dl through D10, the current amounts 19 and 110 measured by the current sensor 402A falls outside the range RNG2 and the current amount Ill measured by the current sensor 402B falls outside the range RNG2.

[0090] In some embodiments, both the ranges RNG1 and RNG2 are used to determine whether the heater system has a fault. For example, the processor of the host computer selects a smaller one of the ranges RNG1 and RNG2, and determines whether one or more of the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Ill, and 14 of current for the corresponding circuits Cl through C10 received from the corresponding one of current sensors 102A, 102B, 402A, and 402B fall outside the smaller one of the ranges RNG1 and RNG2. Upon determining that the one or more of the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Ill, and 14 of current for the corresponding circuits Cl through C10 fall outside the smaller one of the ranges RNG1 and RNG2, the processor of the host computer determines that the heater system has a fault. On the other hand, upon determining that all of the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Il l, and 14 for the corresponding circuits Cl through C10 fall within the smaller one of the ranges RNG1 and RNG2, the processor of the host computer determines that the heater system does not have a fault. As another example, the processor of the host computer selects a larger one of the ranges RNG1 and RNG2, and determines whether one or more of the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Il l, and 14 of current for the corresponding circuits Cl through C10 fall outside the larger one of the ranges RNG1 and RNG2 to determine whether the heater system has a fault. Upon determining that the one or more of the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Il l, and 14 of current for the corresponding circuits Cl through C10 fall outside the larger one of the ranges RNG1 and RNG2, the processor of the host computer determines that the heater system has a fault. On the other hand, upon determining that all of the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Ill, and 14 for the corresponding circuits Cl through C10 fall within the larger one of the ranges RNG1 and RNG2, the processor of the host computer determines that the heater system does not have a fault.

[0091] In various embodiments, the range RNG1 is different from the range RNG2. For example, the range RNG1 is greater than or less than the range RNG2. In several embodiments, the range RNG1 is the same as that of the range RNG2.

[0092] In some embodiments, the processor of the host computer performs the method described with reference to Figures 1 through 3 and/or the method described with reference to Figures 4 through 6B in real time, such as during processing of a substrate within the plasma chamber. For example, the processor repeats the method described with reference to Figures 1 through 3 and/or the method described with reference to Figures 4 through 6B periodically, such as every few seconds, while the substrate is being processed. To illustrate, the processor repeats the method described with reference to Figures 1 through 3 and/or the method described with reference to Figures 4 through 6B every 2 seconds or every 3 seconds. As another illustrate, the processor of the host computer executes a background process in which the method described with reference to Figures 1 through 3 and/or the method described with reference to Figures 4 through 6B are repeated periodically without receiving any signal from the input device from the user to execute the repetition. Examples of processing the substrate include depositing one or more material layers on the substrate, etching the one or more material layers, sputtering the substrate, and cleaning the substrate.

[0093] Moreover, it should be noted that in various embodiments, the range

RNG1 of Figure 3 and the range RNG2 of Figure 6A-1 are independent of change in temperature within the plasma chamber. The temperature within the plasma chamber changes with processing of the substrate. For example, while etching the one or more material layers of the substrate, multiple temperatures are applied within the plasma chamber. As another example, one or more temperatures that are applied to the plasma chamber while depositing the one or more material layers on the substrate are different from one or more temperatures that are applied to the plasma chamber while etching the one or more material layers on the substrate. Each circuit of the heater system is sensitive to temperature in that a current that passes through the circuit changes with temperature. The fault in the heater system is determined by the processor by applying the method described with reference to Figures 1 through 3 and/or the method described with reference to Figures 4 through 6B.

[0094] Figure 6A-2 is an embodiment of a graph 670 to illustrate that each of the ranges RNG1 and RNG2 self-adjusts, such as shifts up or down, along a y-axis of Figure 6A- 1 with a change in the temperature due to a temperature coefficient of resistance (TCR) within the plasma chamber but positions of outliers, such as the amounts 18, 19, 110, and Ill, with respect to the ranges RNG1 and RNG2 stay the same regardless or independent of the change in the temperature. The graph 670 plots current that is measured by the current sensors 102 A and 102B for the circuits Cl through C4 of Figure 1 and current that is measured by the current sensors 402 A and 402B for the circuits C5 through C10 of Figure 4. The current, such as the current amount II, the current amount 12, the current amount 13, the current amount 13.5, the current amount 14, the current amount 15, the current amount 16, the current amount 17, the current amount 18, the current amount 19, the current amount 110, the current amount Ill, a current amount 112, a current amount 113, a current amount 114, a current amount 115, a current amount 116, a current amount 117, a current amount 118, a current amount 119, a current amount 120, a current amount 121, and a current amount 122 is plotted in the graph 670 on a y-axis and identifiers Cl through C10 of the circuits Cl through C10 are plotted on an x-axis.

[0095] The range RNG1 determined by the processor of the host computer when a temperature within the plasma chamber is Tl is shifted downward along the y-axis to a position Pl compared to a position P2 of the range RNG1 when a temperature in the plasma chamber is T2. The temperature T2 is lower than the temperature Tl. The range RNG1 determined by the processor of the host computer when a temperature within the plasma chamber is T2 is shifted upward to the position P2 along the y-axis compared to the position Pl of the range RNG1 when the temperature in the plasma chamber is Tl. For example, the range RNG1 associated with the temperature Tl is determined by the processor of the host computer from the current amounts 18, 14, 15, and 13 that are measured when the plasma chamber has the temperature Tl and the range RNG1 associated with the temperature T2 is determined by the processor from the current amounts 118, 114, 115, and 113. As another example, the range RNG2 associated with the temperature Tl is determined by the processor of the host computer from the current amounts 19, 15, 110, 13.5, Ill, and 14 that are measured when the plasma chamber has the temperature Tl and the range RNG2 associated with the temperature T2 is determined by the processor from the current amounts 119, 115, 120, 113.5, 121, and 114. [0096] A span, such as a distance between an upper limit UL1 of the range RNG1 and a lower limit LL1 of the range RNG1 stays substantially the same or the same independent of whether the temperature within the plasma chamber is Tl or T2. For example, a distance between the upper limit UL1 and the lower limit LL1 of the range RNG1 associated with the temperature Tl is within a pre-determined limit from a distance between the upper limit UL1 and the lower limit LL1 of the range RNG1 associated with the temperature T2. To illustrate, a difference between the distance between the upper limit UL1 and the lower limit LL1 of the range RNG1 associated with the temperature Tl is within 10% or 15% from a difference between the distance between the upper limit UL1 and the lower limit LL1 of the range RNG1 associated with the temperature T2.

[0097] Similarly, a span, such as a distance between an upper limit UL2 of the range RNG2 and a lower limit LL2 of the range RNG2 stays substantially the same or the same independent of whether the temperature within the plasma chamber is Tl or T2. For example, a distance between the upper limit UL2 and the lower limit LL2 of the range RNG2 associated with the temperature Tl is within a pre-determined limit from a distance between the upper limit UL2 and the lower limit LL2 of the range RNG2 associated with the temperature T2. To illustrate, a difference between the distance between the upper limit UL2 and the lower limit LL2 of the range RNG2 associated with the temperature Tl is within 10% or 15% from a difference between the distance between the upper limit UL2 and the lower limit LL2 of the range RNG2 associated with the temperature T2.

[0098] The processor of the host computer identifies whether the heater system has a fault based on the outliers, such as the outlier for the circuit Cl, of the range RNG1 before and after the self-adjustment, e.g., the shifting, etc. To illustrate, when the temperature in the plasma chamber is Tl, the range RNG1 at the position Pl is generated. When the temperature in the plasma chamber decreases from Tl to T2, approximately the same range RNG1 is generated at the position P2 from the amount of current 118 measured by addressing the circuit Cl, the amount of current 114 measured by addressing the circuit C2, the amount of current 115 measured by addressing the circuit C3, and the amount of current 113 measured by addressing the circuit C4. The range RNG1 or approximately the same range RNG1 is generated at the position P2 by applying the method described above with reference to Figures 1-3 when the temperature within the plasma chamber is T2. As another illustration, the processor of the host computer identifies that the amount 118 of current measured by addressing the circuit Cl is an outlier of the range RNG1 at the position P2 and the amount of current 18 for the same circuit Cl was determined to be the outlier of the range RNG1 at the position Pl. Similarly, when the range RNG1 shifts down from the position P2 to the position P2 due to a change in the temperature within the plasma chamber from T2 to Tl, the processor of the host computer identifies that the amount 18 of current measured by addressing the circuit Cl is an outlier of the range RNG1 at the position Pl and the amount of current 118 for the same circuit Cl was determined to be the outlier of the range RNG1 at the position P2.

[0099] As another example, range RNG2 determined by the processor of the host computer when a temperature within the plasma chamber is Tl is shifted downward along the y-axis to a position P3 compared to a position P4 of the range RNG2 when a temperature in the plasma chamber is T2. The temperature T2 is lower than the temperature Tl. The range RNG2 determined by the processor of the host computer when a temperature within the plasma chamber is T2 is shifted upward to the position P4 along the y-axis compared to the position P3 of the range RNG2 when the temperature in the plasma chamber is Tl. A span, such as a distance between an upper limit UL11 of the range RNG2 and the lower limit LL1 of the range RNG2 stays substantially the same or the same independent of whether the temperature within the plasma chamber is Tl or T2.

[00100] The processor of the host computer identifies whether the heater system has a fault based on the outliers, such as the outliers for the circuits C5, C7, and C9, of the range RNG2 before and after the self- adjustment, e.g., the shifting, etc. To illustrate, when the temperature in the plasma chamber is Tl, the range RNG2 at the position P3 is generated. When the temperature in the plasma chamber decreases from Tl to T2, the same range RNG2 or approximately the same range RNG2 at the position P4 is generated from the amount of current 119 measured by addressing the circuit C5, the amount of current 115 measured by addressing the circuit C6, the amount of current 120 measured by addressing the circuit C7, the amount of current 113.5 measured by addressing the circuit C8, the amount of current 121 measured by addressing the circuit C9, and the amount of current 114 measured by addressing the circuit C10. The range RNG2 or approximately the same range RNG2 is generated at the position P4 by applying the method described above with reference to Figures 4-6B when the temperature within the plasma chamber is T2. As another illustration, the processor of the host computer identifies that the amounts 119 of current measured by addressing the circuit C5 is an outlier of the range RNG2 at the position P4 and the amount of current 19 for the same circuit C5 was determined to be the outlier of the range RNG2 at the position P3. Similarly, when the range RNG2 shifts down from the position P4 to the position P3 due to a change in the temperature within the plasma chamber from T2 to Tl, the processor of the host computer identifies that the amount 19 of current measured by addressing the circuit C5 is an outlier of the range RNG2 at the position P3 and the amount of current 119 for the same circuit C5 was determined to be the outlier of the range RNG2 at the position P4.

[00101] In some embodiments, a range that is approximately the same as another range has an upper limit that is within a statistical range from an upper limit of the other range. Also, the range that is approximately the same as the other range has a lower limit that is within a statistical range from a lower limit of the other range.

[00102] The range RNG1 or RNG2 is self-defining for an operating temperature, such as Tl or T2, of a substrate support. For example, the self-adjustment, described above, applies equally as well when a temperature of a substrate support within the plasma chamber changes from Tl to T2 or from T2 to Tl. As another example, regardless of whether a temperature of the substrate support is Tl or T2, the range RNG1 or the RNG2 before or after the self-adjustment is used by the processor of the host computer system to identify a fault in the heater system.

[00103] It should be noted that the methods of Figures 1-3 and 4-6B are described with reference to a fault in the one or more diodes of the heater system, the methods apply equally as well when there is a fault in the one or more resistors of the heater system. The methods of Figures 1-3 and 4-6B apply equally as well when there is a fault in the one or more diodes of the heater system and the one or more resistors of the heater system. Moreover, the methods of Figures 1-3 and 4-6B apply equally as well when there is a fault in the one or more buses of the heater system. The methods of Figures 1-3 and 4-6B apply equally as well when there is a fault in the one or more diodes of the heater system and one or more buses of the heater system. Also, the methods of Figures 1-3 and 4-6B apply equally as well when there is a fault in the one or more diodes of the heater system and the one or more diodes of the heater system and the one or more buses of the heater system.

[00104] It should further be noted that the methods of Figures 1-6B apply equally as well when there is a fault in the multiplexer (MUX) or other controlling circuits.

[00105] It should also be noted that in determining that the heater system has a fault by applying the method described with reference to Figures 1-3 and/or the method described with reference to Figures 4-6B, there is no baseline reference generated or received. For example, there is no reference statistical range generated by the processor of the host computer before the substrate is processed within the plasma chamber. As another example, there is no reference statistical range that is received by the processor from the input device before the substrate is processed. When the baseline reference is generated or received, the amounts of current that are detected by the current sensor 102A, 102B, 402A, and 402B are compared with the baseline reference to determine whether the heater system has a fault. For example, when one or more of the amounts of current detected by the current sensor 102A, 102B, 402A, and 402B are outside the baseline reference, the heater system has a fault. On the other hand, when all of the amounts of current detected by the current sensor 102A, 102B, 402A, and 402B are within the baseline reference, the heater system does not have a fault. Examples of the baseline reference are provided below. To illustrate, the baseline reference is received or generated before processing of the substrate or during wait time in between processing the substrate. To further illustrate, the baseline reference is received or generated before the substrate support that includes the heater system is used for a first time to process the substrate. As another illustration, the baseline reference is generated during manufacturing of the heater system. The baseline reference is not generated in real time during processing of the substrate.

[00106] In some embodiments, the statistical range for the group A and the statistical range for the group B are determined by machine learning. The processor of the host computer is not programmed to determine the statistical range but obtains the current amounts 18, 14, 15, and 13 to solve for the statistical range for the group A by learning on its own and obtains the current amounts 19, 15, 110, 13.5, Ill, and 14 to solve for the statistical range for the group B by learning on its own.

[00107] In some embodiments, multiple circuits, such as the circuits C5, C6, and C9, are addressed simultaneously to pass amounts of current from the voltage source Vs via the multiple circuits. Upon passage of the amounts of current via the multiple circuits, the currents sensors 402A and 402B measure amounts of current. The amounts of current measured are provided from the current sensors 402A and 402B to the processor of the PCBA. The processor of the PCBA provides the amounts of current measured to the processor of the host computer. The processor of the host computer calculates the statistical range for the group B from the amounts of current measured. Upon determining that one or more of the amounts of current measured are outside the statistical range for the group B, the processor of the host computer determines that the heater system has a fault. On the other hand, upon determining that all of the amounts of current measured are within the statistical range for the group B, the processor of the host computer determines that the heater system is does not have a fault, e.g., is not malfunctioning, is operational, etc. [00108] It should be noted that the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Il l, and 14 of current for the corresponding circuits Cl through C10 are examples of amounts of current. In several amounts, values other than the amounts 18, 14, 15, 13, 19, 15, 110, 13.5, Il l, and 14 are measured for the corresponding circuits Cl through C10.

[00109] Figure 6B is an embodiment of a graph 650 to illustrate a range of clustering RNGcluster. The graph 650 plots amounts of current that are measured by one or more current sensors, such as the current sensors 102A, 102B (Figure 1), 402A, and 402B (Figure 4), when one or more circuits Ca, Cb, Cc, Cd, Ce, Cf, Cg, Ch, Ci, Cj, Ck, Cl, Cm, Cn, Co, Cp, Cq, Cr, and Cs, of the heater system are addressed. As an example, a circuit of the heater system is addressed when two switches that are coupled to the circuit via corresponding x and y buses are turned on to allow passage of a current from the voltage source Vs to the circuit. The amounts of current that are measured by the one or more current sensors are plotted on a y-axis and a circuit number, such as anyone of Ca through Cs, is plotted on an x-axis.

[00110] The amounts of current that are measured by the one or more current sensors are provided from the one or more current sensors to the processor of the host computer via the processor of the PCBA or directly, e.g., without being sent to the processor of the PCBA. The processor of the host computer calculates the range RNGcluster, which is an example of a statistical range, examples of which are provided herein, for a group that includes the circuits Ca through Cs. The processor of the host computer identifies from the amounts of current that are received from the one or more current sensors that the amount of current when the circuit Cc is addressed, the amount of current when the circuit Cg is addressed, and the amount of current when the circuit Cl is addressed are outside the range RNGcluster to determine that the heater system has a fault. On the other hand, upon determining that all of the amounts of current that are received from the one or more current sensors fall within the range RNGcluster, the processor of the host computer determines that the heater system does not have a fault.

[00111] In some embodiments, the circuits Ca through Cs are all circuits of the heater system and the heater system has no further circuits. In various embodiments, the circuits Ca through Cs are a group from all circuits of the heater system and the heater system has additional circuit groups.

[00112] In various embodiments, the circuits Ca through Cs are addressed sequentially to measure the one or more amounts of current. In several embodiments, two or more of the circuits Ca through Cs are addressed simultaneously to measure one or more amounts of current.

[00113] Figure 7A is a diagram of an embodiment of alarm data 700 that is displayed on the display device of the host computer. The alarm data 700 is generated by the processor of the host computer and displayed on the display device when the processor of the host computer determines that the heater system of the plasma chamber has a fault. The alarm data 700 includes a post time, which includes a time and a date the processor determined the heater system to have a fault. The alarm data 700 includes an alarm type, which includes that there is an error in the heater system of the plasma chamber and that there is an unexpected current in the heater system. The alarm data 700 includes an alarm identifier (ID), which identifies the alarm type. An example of the alarm ID includes an alphanumeric number or a real number or one or more alphabetical characters.

[00114] Figure 7B is an embodiment of the display device of the host computer. The display device displays a graphical user interface, such as a window 750, on which alarm data 752 is displayed. The alarm data 752 is an example of information regarding a fault in the heater system of an electrostatic chuck (ESC). The information includes an indication, such as a mark“X” or a colored symbol or a blinking symbol or a highlighted symbol or another type of mark, to indicate or suggest that there is a fault in the heater system of the ESC. The information regarding the fault further has a textual detail hyperlink. Moreover, the alarm data 752 includes a graph type, which includes a standard deviation hyperlink and a variance hyperlink. The window 750 further includes additional data regarding the plasma chamber in which the heater system is located. Examples of the additional data include system settings, such as, a type of the plasma chamber, a number of plasma chambers used within a plasma system, a number of radio frequency (RF) generators to be used within the plasma system to supply RF signals, a number of impedance matching circuits to be used within the plasma system to receive the RF signals, etc. Moreover, the additional data includes programmable settings, such as, power to be supplied by an RF generator, described below, of the plasma system, operational frequency of the RF generator, temperature within the plasma chamber, pressure within the plasma chamber, an amount of gap between an upper electrode and the ESC of the plasma chamber, etc.

[00115] When a selection of the textual details hyperlink made via the input device by the user is received, a window 754A is generated by the processor of the host computer. The window 754A includes the alarm data 700. Moreover, when another selection of the standard deviation hyperlink is made via the input device by the user is received, another window 754B is generated by the processor of the host computer. The window 754B plots a graph of amounts of current that are measured by one or more current sensors, such as the current sensor 102A, 102B (Figure 1), 402A, or 402B (Figure 4), when one or more circuits of the heater system are addressed. The amounts of current are plotted along a y-axis within the window 754B and circuit numbers, each of which identifies a corresponding one of the circuits of the heater system, are plotted on an x-axis within the window 754B. The graph within the window 754B includes a range of standard deviation that is calculated by the processor of the host computer from the amounts of current. Examples of the graph displayed within the window 754B include the graph 300 of Figure 3, the graph 600 of Figure 6A-1, the graph 650 of Figure 6B, and a graph 850 of Figure 8B.

[00116] Also, when yet another selection of the variance hyperlink is made via the input device by the user is received, another window 754C is generated by the processor of the host computer. The window 754C plots a graph of amounts of current that are measured by one or more current sensors, such as the current sensor 102A, 102B, 402A, or 402B, when one or more circuits of the heater system are addressed. The amounts of current are plotted along a y-axis within the window 754C and circuit numbers, each of which identifies a corresponding one of the circuits of the heater system, are plotted on an x-axis within the window 754B. The graph within the window 754C includes a range of variance that is calculated by the processor of the host computer from the amounts of current. Examples of the graph displayed within the window 754B include the graph 300 of Figure 3, the graph 600 of Figure 6A-1, the graph 650 of Figure 6B, and the graph 850 of Figure 8B.

[00117] It should be noted that the terms circuit number and circuit identifier are used interchangeably herein. For example, each circuit identifier includes one or more alphanumeric characters or one or more numbers, is unique to a circuit within the heater system, and is distinguishable from an identifier of another circuit of the heater system.

[00118] It should further be noted that although the window 752 shows the standard deviation hyperlinks and the variance hyperlink as examples of hyperlinks, a hyperlink of any other type of statistical range is displayed within the window 752.

[00119] In an embodiment, the alarm data 700 is displayed by the processor of the host computer on the display device with the remaining portions of the alarm data 752. For example, there is no need to receive a selection of the textual detail hyperlink from the user via the input device to display the alarm data 700. Rather, the alarm data 700 is displayed as a portion of the alarm data 752 simultaneous with the display of the alarm data 752. [00120] Figure 8A is an embodiment of a graph 800 to illustrate a plot 804 of a characteristic current variation versus time t and to illustrate use of a baseline reference in determining that the heater system has a fault. The characteristic current variation is plotted on a y-axis and the time t is plotted on an x-axis. A statistical range RNG3 is determined from manufacturing data. The manufacturing data corresponds to a pre-determined temperature and is received at a time the substrate support is received by a customer from a manufacturer of the substrate support. The customer installs the substrate support including the heater system within the plasma chamber. The manufacturing data includes a list of pre determined amounts of current that pass through all circuits of the heater system and includes the statistical range RNG3. The statistical range RNG3 is an example of a baseline reference, such as a reference statistical range. After use of the heater system for processing of the substrate, there is a fault in one of more diodes and/or one or more resistors of the heater system of the substrate support resulting in a change in one or more of the amounts of current associated with the corresponding one or more circuits of the heater system. The processor of the host computer applies the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B to determine that at least one of the amounts of current, such as all amounts within a region 802, of the plot 804 lie outside the statistical range RNG3 to further determine that there is a fault in the heater system.

[00121] In various embodiments, when there is no fault, such as a lack of a failure, within any of the circuits Cl through C4 of the group A, a maximum amount of current for the group A of Figure 1 is 1.2 amperes (A) and a minimum amount of current for the group A is 1 A. The processor of the host computer determines the maximum and minimum amounts for the group A from amounts of current for the group A received from and measured by the current sensors 102A and 102B, and calculates an average amount of current for the group A to be 1.1 A from the amounts of current that are measured by the current sensors 102A and 102B for the group A. Similarly, when there is no fault within any of the circuits C5 through C10 of the group B of Figure 4, a maximum amount of current for the group B is 2.4 A and a minimum amount of current for the group B is 2.1 A. The processor of the host computer determines the maximum and minimum amounts for the group B from amounts of current for the group B received from and measured by the current sensors 102A and 102B, and calculates an average amount of current for the group B to be 2.2 A from all amounts of current that are measured by the current sensors 402 A and 402B for the group B.

[00122] Continuing with these embodiments, the processor of the host computer calculates a characteristic current I_cc for the heater system as a sum of a maximum range of current deviation from the average amount of current for the group A and a maximum range of current deviation from the average amount of current for the group B. For example, the processor calculates the characteristic current I_cc for the heater system as:

I_cc = max[(I_Amax - I_Aavg), I_Bmax - I_Bavg)] + max[(I_Aavg - I_Amin), (I_Bavg - I_Bmin)] . . . .(1) where T Amax is the maximum amount of current for the group A, I_Aavg is the average amount of current for the group A, T Amin is the minimum amount of current for the group A, I_Bmax is the maximum amount of current for the group B, I_Bavg is the average amount of current for the group B, and I_Bmin is the minimum amount of current for the group B. To illustrate, based on the maximum amount of current for the group A of 1.2 A, the minimum amount of current for the group A of 1.0 A, the average amount of current for the group A of

1.1 A, the maximum amount of current for the group B of 2.4 A, the minimum amount of current for the group B of 2.1 A, and the average amount of current for the group B of 2.2 A, the processor calculates the characteristic current I_cc to be equal to 0.3 A.

[00123] Continuing further with the embodiments, when the diode D4 of Figure 1 and/or any other diode within the heater system fails to create a short circuit, the maximum amount of current for the group A is calculated by the processor of the host computer to be

3.1 A, the minimum amount of current for the group A is calculated by the processor of the host computer to be 1.2 A, the average amount of current for the group A is calculated by the processor of the host computer to be 2.8 A, the maximum amount of current for the group B is calculated by the processor of the host computer to be 4.5 A, the minimum amount of current for the group B is calculated by the processor of the host computer to be 2.5 A, and the average amount of current for the group B is calculated by the processor of the host computer to be 3.9 A. Based on the maximum amount of current for the group A of 3.1 A, the minimum amount of current for the group A of 1.2 A, the average amount of current for the group A of 2.8 A, the maximum amount of current for the group B of 4.5 A, the minimum amount of current for the group B of 2.5 A, and the average amount of current for the group B of 3.9 A, the processor calculates the characteristic current I_cc to be equal to 2.2 A.

[00124] In these embodiments, the processor of the host computer determines that the characteristic current I_cc when there is a fault in one or more of the diodes of the heater system is substantially greater than the characteristic current I_cc when there no fault in any of the diodes of the heater system. For example, the processor of the host computer determines that the characteristic current I_cc of 0.3 A is less than a pre-determined threshold of 1.0 A and determines that the characteristic current I_cc of 2.2 A is greater than the pre determined threshold of 1.0 A. Upon generating the characteristic current I_cc of 2.2 A, the processor of the host computer determines that the heater system has a fault and upon generating the characteristic current I_cc of 0.3 A, the processor of the host computer determines that the heater system does not have a fault.

[00125] In some embodiments, a baseline reference, such as a reference statistical range, is created by the processor of the host computer at start-up, such as immediately after the substrate support received by the customer is installed in the plasma chamber. The baseline reference is created by applying the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B. The baseline reference is created when amounts of current that are measured by the sensors 102A, 102B (Figure 1), 402A, and 402B (Figure 4) correspond to a pre-determined temperature within the plasma chamber. The baseline reference indicates that there is no fault in the heater system. After the baseline reference is created and stored in the memory device of the host computer, the processor again applies the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B to amounts of current that are sensed by the sensors 102A, 102B (Figure 1), 402A, and 402B (Figure 4). For example, the processor applies the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B during wait time between applying different processes to the substrate or during processing of the substrate S. The processor determines that the one or more of the amounts of current measured during the wait time or during the processing of the substrate are outside the baseline reference to determine that there is a fault in the plasma chamber.

[00126] In various embodiments, a baseline reference, such as a reference statistical range, is created by the processor of the host computer in real time, such as during processing of the substrate, and used to determine whether there is a fault in the heater system of the substrate support. For example, the baseline reference is created by applying the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B when the plasma chamber is operated at an operating condition, which is sometimes referred to herein as a process condition. The operating condition is defined by a temperature within the plasma chamber, a pressure within the plasma chamber, a combination of one or more process gases within the plasma chamber for processing the substrate, and an amount of voltage or power to be supplied to the lower electrode, among other factors. Once the baseline reference is created and stored in the memory device of the host computer, during processing of the substrate, the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B is applied periodically to determine whether one or more of multiple current amounts measured when the circuits of the heater system are selected are outside the baseline reference. Upon determining that the one or more of multiple current amounts measured when the circuits of the heater system are selected are outside the baseline reference, the processor of the host computer determines that there is a fault in the heater system during processing of the substrate.

[00127] In some embodiments, a pre-determined symmetry pattern, such as the range RNG1 or the range RNG2, is applied to determine whether there is a fault in the heater system. For example, the processor compares the ranges RNG1 for the group A with the range RNG2 for the group B to determine whether there is a fault in the heater system of the substrate support. For example, the processor of the host computer determines that the range RNG2 for the group B is not within a pre-determined limit from the range RNG1 to determine that the heater system of the substrate support has a fault. In this example, the range RNG1 is an example of the pre-determined symmetry pattern. As another example, the processor of the host computer determines that the range RNG1 for the group A is not within a pre-determined limit from the range RNG2 to determine that the heater system of the substrate support has a fault. In this example, the range RNG2 is an example of the pre determined symmetry pattern.

[00128] In several embodiments, a heating map delta between two temperatures is applied by the processor of the host computer to determine whether there is a fault in the heater system of the substrate support. For example, a first temperature within the plasma chamber corresponds to a first set of amounts of current that are transferred via the circuits Cl through C10 and a second temperature within the plasma chamber corresponds to a second set of amounts of current that are transferred via the circuits Cl through C10. To illustrate, when the first set of amounts of current are transferred via the circuits Cl through C10, the first temperature is generated within the plasma chamber and when the second set of amounts of current are transferred via the circuits Cl through C10, the second temperature is generated within the plasma chamber. The correspondences between the first set of amounts of current and the first temperature and the second set of amounts of current and the second temperature are a part of a manufacturing specification and is received by the customer from the manufacturer. Moreover, in the example, multiple amounts of current passing through the corresponding circuits Cl through C10 that are measured by the corresponding current sensors 102 A, 102B, 402A, and 402B, as described above with reference to Figures 1-3 and 4-6B, correspond to a third temperature within the plasma chamber. The multiple amounts of current correspond to the third temperature when the multiple amounts of current pass through the circuits and the buses of the heater system to generate the third temperature. All of the correspondences in this example are stored in the memory device of the host computer. The processor of the host computer determines that the third temperature is outside a range between the first and second temperatures to determine that there is a fault in the heater system of the plasma chamber. On the other hand, upon determining that the third temperature is within the range between the first and second temperatures, the processor of the host computer determines that the heater system of the substrate support does not have a fault.

[00129] In various embodiments, a voltage sensor is coupled to an inactive bus, such as, the bus y2 or the bus x2 of Figure 1 that is affected by the faulty diode D4, or a bus whose voltage is affected by a cross-talk between currents passing through resistors, etc. The voltage sensor is also coupled to the processor of the PCBA of Figure 1 to provide a voltage that is measured on the inactive bus. The processor of the PCBA provides the voltage to the processor of the host computer. The processor of the host computer determines that the voltage is outside a pre-determined range to determine that the heater system of the substrate support has a fault. The pre-determined range of these embodiments is stored in the memory device of the host computer.

[00130] In some embodiments, a factory calibrated current versus temperature curve is applied to determine whether the heater system of the substrate support has a fault. For example, when a chuck is received from the manufacturer by the customer, for each temperature to be achieved within the plasma chamber, there is a set of amounts of current that pass through the circuits Cl through C10. When the method of Figures 1-3 and/or the method of Figures 4-6B are applied by the processor of the host computer, the processor of the host computer determines whether a current versus temperature curve plotting the amounts of current that are measured by the current sensors 102A and 102B and/or the current sensors 402A and 402B versus a temperature that corresponds to the measured amounts is outside a pre-set range from the factory calibrated current versus temperature curve. Upon determining that the current versus temperature curve is outside the pre-set range from the factory calibrated current versus temperature curve, the processor of the host computer determines that the heater system of the substrate support has a fault. On the other hand, upon determining that the current versus temperature curve is not outside the pre-set range from the factory calibrated current versus temperature curve, the processor of the host computer determines that the heater system of the substrate support does not have a fault.

[00131] Figure 8B is an embodiment of the graph 850. The graph 850 plots amounts of current that are measured by the current sensors 102A, 102B (Figure 1), 402A, and 402B (Figure 4) versus a circuit number of the circuits Cl through C10 of Figures 1 and 4. The graph 850 shows an example of values of the maximum amount of current for the group A, the average amount of current for the group A, the minimum amount of current for the group A, the maximum amount of current for the group B, the average amount of current for the group B, and the minimum amount of current for the group B.

[00132] Figure 9 is a diagram of an embodiment of a system 900 to illustrate generation of alarm data, as described herein, when the processor of the host computer determines that there is a fault in a heater system 902, which is an example of the heater system described above with reference to Figures 1-3 and 4-6B. The system 100 includes the radio frequency generator (RFG), the host computer, an impedance matching circuit (IMC), and a plasma chamber 904. An example of the plasma chamber 904 includes a capacitively coupled plasma (CCP) chamber. An input of impedance matching circuit is coupled to the RF generator via an RF cable and an output of impedance matching circuit is coupled to a lower electrode of the plasma chamber 904 via an RF transmission line.

[00133] An example of the RF generator is a generator that has a frequency of operation in kilohertz (kHz). To illustrate, the RF generator operates at a frequency of 200 kHz or 400 kHz. Another example of the RF generator is a generator that has a frequency of operation in megahertz (MHz). To illustrate, the RF generator operates at a frequency of 2 MHz, 13.56 MHz, 27 MHz, or 60 MHz.

[00134] An impedance matching circuit, as described herein, is a network of one or more components, such as one or more resistors, or one or more capacitors, or one or more inductors, or a combination thereof, that match an impedance of a load coupled to an output of the impedance matching circuit with an impedance of a source coupled to one or more inputs of the impedance matching circuit. Two or more of the components are coupled to each other in a parallel or serial manner. Examples of the load coupled to the output of impedance matching circuit include the plasma chamber 904 and the RF transmission line. Moreover, examples of the source coupled to one or more inputs of the impedance matching circuit include the RF cable and the RF generator.

[00135] The plasma chamber 904 includes the upper electrode and the lower electrode. The lower electrode and the heater system 902 are embedded within the substrate support, such as the chuck, on which a substrate S is placed. Examples of the chuck include the electrostatic chuck or a magnetic chuck and an example of the substrate S is a semiconductor wafer. The substrate support faces the upper electrode. The upper electrode is coupled to a ground potential. Each of the lower electrode and the upper electrode is made from a metal, such as aluminum or an alloy of aluminum. The lower electrode is located above the heater system 902.

[00136] The host computer is coupled to the RF generator via a transfer cable, such as a cable that facilitates parallel transfer of data, a cable that facilitates serial transfer of data, and a universal serial bus (USB) cable. The processor of the host computer provides one or more power levels and/or one or more frequency levels to the RF generator. The RF generator generates an RF signal having the one or more power levels and/or the one or more frequency levels and supplies the RF signal via the RF cable to the impedance matching circuit. The impedance matching circuit matches an impedance of the load coupled to the output of the impedance matching circuit with that of the source coupled to the input of the impedance matching circuit to generate a modified RF signal from the RF signal received via the RF cable. The impedance matching circuit provides the modified RF signal via the RF transmission line to the lower electrode of the plasma chamber 904.

[00137] When the one or more process gases are supplied to the plasma chamber 904 in addition to supplying the modified RF signal, plasma is stricken or maintained within the plasma chamber 904 to process the substrate S. Examples of the one or more process gases include an oxygen-containing gas, such as 0 2 . Other examples of the one or more process gases include a fluorine-containing gas, e.g., tetrafluoromethane (CF 4 ), sulfur hexafluoride (SF 6 ), hexafluoroethane (C 2 F 6 ), etc.

[00138] During processing of the substrate S, the processor applies the method described above with reference to Figures 1-3 and/or the method described above with reference to Figures 4-6B to determine whether there is a fault in the heater system 902. Upon determining that there is fault in the heater system 902, the processor of the host computer generates alarm data, such as the alarm data 700 of Figure 7A or the alarm data 752 of Figure 7B. As an example, the alarm data generated by the processor of the host computer is output via an audio device, such as one or more speakers, that are coupled to the processor of via the display device. The user upon viewing or hearing the alarm data turns off processing of the substrate S. For example, the user turns off the RF generator to prevent the RF generator from generating the RF signal. [00139] In some embodiments, instead of the user, the processor of the host computer discontinues processing of the substrate S when the heater system 902 is determined to have a fault. For example, the processor of the host computer sends a turn off signal to the RF generator to turn off the RF generator. Upon receiving the turn off signal, the RF generator shuts down and does not generate the RF signal to discontinue processing of the substrate S.

[00140] In some embodiments, any number of RF generators are coupled to the impedance matching circuit. For example, an additional RF generator is coupled to another input of impedance matching circuit via another RF cable to generate and send an RF signal to the other input of impedance matching circuit.

[00141] In several embodiments, a power level, described herein, of power of an RF signal, is an envelope of the power. For example, the power level, described herein, of power of an RF signal is a zero-to-peak magnitude of the power of the RF signal or a peak-to- peak magnitude of the power of the RF signal.

[00142] In various embodiments, instead of the upper electrode being coupled to the ground potential, the lower electrode is coupled to the ground potential and the upper electrode is coupled to the RF transmission line.

[00143] In some embodiments, instead of the upper electrode being coupled to the ground potential, the upper electrode is coupled to one or more RF generators via corresponding one or more impedance matching circuits. The processor of the host computer is coupled to the one or more RF generators that are coupled to the upper electrode and turns of the one or more RF generators upon determining that the heater system 902 within the substrate support has a fault.

[00144] In several embodiments, instead of the CCP chamber, a plasma enhanced chemical vapor deposition (PECVD) chamber or plasma enhanced atomic layer deposition (PEALD) chamber is used. The PECVD chamber includes a showerhead and a pedestal and the PEALD chamber includes a showerhead and a pedestal. The RF transmission line, described above with reference to Figure 9, is coupled to the pedestal instead of the chuck. The heater system, such as the heater system 902, described above with reference to Figures 1-3 and 4-6B, is located within the pedestal of the PECVD or the PEALD chamber.

[00145] In various embodiments, the heater system 902 is located within the showerhead of the PECVD or the PEALD chamber instead of being located in the pedestal of the PECVD or the PEALD chamber, and the processor of the host computer applies the method described above with reference to Figure 1-3 and/or the method described above with reference to Figures 4-6B to determine whether there is a fault in the heater system 902.

[00146] In some embodiments, the heater system 902 is located within the pedestal of the PECVD or the PEALD chamber and another heater system is located within the showerhead or the PECVD or the PEALD chamber. The other heater system has the same structure as that of the heater system 902 that is illustrated with reference to Figures 1-6 and the processor of the host computer applies the method described above with reference to Figure 1-3 and/or the method described above with reference to Figures 4-6B to determine whether there is a fault in the other heater system.

[00147] In several embodiments, a showerhead of the PECVD or the PEALD chamber includes the upper electrode and the upper electrode is coupled via one or more impedance matching circuits to corresponding one or more RF generators. The processor of the host computer is coupled to the one or more RF generators and sends a signal to the one or more RF generators to turn off all of the one or more RF generators when a fault is detected in a heater system within the showerhead and/or a heater system within the substrate support.

[00148] In various embodiments, instead of the CCP chamber, an inductively coupled plasma (ICP) plasma chamber is used. In the ICP plasma chamber, instead of the upper electrode, a dielectric window forms a top wall of the ICP plasma chamber and one or more RF coils are located above the dielectric window. The dielectric window faces the substrate support of the ICP plasma chamber and the substrate support includes the lower electrode and the heater system. One or more RF generators are coupled to the one or more RF coils to supply RF power to the one or more RF coils.

[00149] In several embodiments, instead of the lower electrode of the substrate support of the ICP chamber being coupled to the RF generator via the impedance matching circuit, the lower electrode is coupled to a ground potential. When a fault is detected in the heater system of the substrate support, the processor of the host computer turns off processing of the substrate by sending a signal to the one or more RF generators coupled to the one or more RF coils of the ICP chamber.

[00150] In some embodiments, a failure in the heater system, such as a fault in the heater system, is not determined by the processor of the host computer system by monitoring an amount of current when one of the circuits of the heater system is addressed, and raising an alarm when the measured amount of current is not within an expected current range for that circuit. Since a resistance of a material of a diode or a resistor of the circuit changes with temperature according to a temperature coefficient of resistance (TCR), for the circuit, the expected current range varies significantly over an operating range of the circuit. As such, it is difficult to determine that the heater system has a fault based on the amount of current monitored for the circuit and the expected current range for the circuit.

[00151] Figure 10A is a diagram of an embodiment of a chuck 1000 to illustrate a physical heater array of multiple circuits along multiple rings RG1, RG2, and RG3 of the heater system 902 of Figure 9. The rings RG1 through RG3 are concentric. The ring RG1 forms a circle of the smallest diameter among the rings RG1 through RG3 and the ring RG3 forms a circle of the largest diameter among the rings RG1 through RG3. The ring RG2 forms a circle of a diameter between the smallest and largest diameters. The circuits Cl through C4 are placed along the ring RG1. Moreover, the circuits C5 through C10 are placed along the ring RG2. Also, multiple circuits are placed along the ring RG3. The rings RG1 through RG3 illustrate a physical layout of the circuits of the heater system 902 of the chuck 1000.

[00152] In various embodiments, the heater system 902 includes any other number of rings, such as four, five, or six rings, all of which are concentric.

[00153] In several embodiments, the terms heater nodes and circuits and control elements are used interchangeably herein. For example, the circuit Cl is a heater node and the circuit C2 is another heater node.

[00154] In some embodiments, one of the rings of the heater system 902 is in a different horizontal plane than one or more other rings of the heater system 902. For example, the ring RG1 is at a different horizontal level than the ring RG2.

[00155] In several embodiments, instead of being arranged in a form of a ring, the circuits, such as the circuits Cl through C4 or the circuits C5 through C10, of the heater system 902 of the chuck 1000 are arranged in another shape, such as a square shape, or a polygonal shape, or an oval shape, or an arbitrary shape, or a rectangular shape, or a triangular shape.

[00156] Figure 10B is an embodiment of a logical heater array to illustrate logical connections between the circuits Cl through C10 of the chuck 1000 of Figure 10A. Each of the circuits Cl through C10 is coupled to a corresponding x bus and a corresponding y bus. The logical heater array is laid out as matrix of circuits Cl through C10. It should be noted that x and y buses of the physical heater array illustrated in Figure 10A are not laid out in a horizontal manner or in a vertical manner as illustrated in Figure 10B. Rather, the x and y buses of the physical heater array are laid out in any manner, such as a freeform manner or an arbitrary manner, to connect the circuits Cl through C10 logically in a manner illustrated in Figure 10B.

[00157] Figure 11 is an embodiment of a flowchart 1100 to illustrate a method for identifying a fault in the heater system 902. The method 1100 is executed by the processor of the host computer of Figure 1 or 4. The method 1100 includes an operation 1102 of identifying a group of heater nodes, such as the group A or group B, from a heater array, which includes all circuits of the heater system 902. For example, the processor of the host computer identifies the group A to be all circuits of the ring RG1 of Figure 10A. As another example, the processor of the host computer identifies the group B to be all circuits of the ring RG2 of Figure 10A. The processor of the host computer accesses a physical layout of the heater system 902 from the memory device of the host computer to determine that the heater system 902 has the rings RG1 through RG3 and accesses identifiers, such as circuit numbers, of the circuits laid along the rings RG1 through RG3. The processor of the host computer assigns the circuits Cl through C4 to the group A or the circuits C5 through C10 to the group B. As yet another example, multiple circuits of the heater system 902 that output amounts of current within a pre-determined range are identified by the processor of the host computer to be within a group. As still another example, multiple circuits of the heater system 902 that have amounts of voltage within a pre-determined range are identified by the processor of the host computer to be within a group.

[00158] The method 1100 includes an operation 1104 of supplying an amount of current to each of the heater nodes of the group A or the group B. For example, the processor of the host computer controls the multiplexer via the processor of the PCBA of Figure 1 or 4 to address each of the circuits Cl through C4 of the group A to enable measurement of the amounts of current by the current sensors 102 A and 102B of Figure 1. As another example, the processor of the host computer controls the multiplexer via the processor of the PCBA of Figure 1 or 4 to address each of the circuits C5 through C10 of the group B to enable measurement of the amounts of current by the current sensors 402A and 402B of Figure 4.

[00159] The method 1100 includes an operation 1106 of qualifying current outputs measured responsive the operation 1104 of supplying the amounts of current to the circuits Cl though C4 of group A or the circuits C5 through C10 of group B. For example, the processor of the host computer receives the current outputs, which are the amounts of current measured by the current sensors 102 A and 102B or the amounts of current measured by the current sensors 402 A and 402B, and stores the current outputs within the memory device of the host computer. The processor of the host computer receives the current outputs from the current sensors 102A and 102B or receives the current outputs from the current sensors 402A and 402B via the processor of the PCBA.

[00160] In some embodiments, the processor of the host computer receives the current outputs directly from the current sensors 102A and 102B when the processor of the host computer is coupled to the current sensors 102 A and 102B without being coupled to the processor of the PCBA. Similarly, the processor of the host computer receives the current outputs directly from the current sensors 402A and 402B when the processor of the host computer is coupled to the current sensors 402A and 402B without being coupled to the processor of the PCBA

[00161] The method 1100 includes an operation 1108 of identifying one or more of the current outputs qualified in the operation 1106 as being outside a range of clustering, such as the range RNGcluster of Figure 6B or the range RNG1 of Figure 3 or the range RNG 2 of Figure 6. The processor of the host computer determines that the heater system 902 has a fault in response to identifying that the one or more of the current outputs are outside the range of clustering. The processor of the host computer generates alarm data, described above to indicate to the user to stop processing of the substrate S of Figure 9. In some embodiments, the processor of the host computer generates the alarm data and stops the processing of the substrate S by controlling the RF generator of Figure 9 to stop generating the RF signal.

[00162] Embodiments described herein may be practiced with various computer system configurations including hand-held hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers and the like. The embodiments can also be practiced in distributed computing environments where tasks are performed by remote processing hardware units that are linked through a network.

[00163] In some embodiments, a controller, as described herein, is part of a system, which may be part of the above-described examples. Such systems include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems are integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics is referred to as the“controller”, which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, is programmed to control any of the processes disclosed herein, including the delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks coupled to or interfaced with a system.

[00164] Broadly speaking, in a variety of embodiments, the controller is defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as ASICs, PLDs, and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). The program instructions are instructions communicated to the controller in the form of various individual settings (or program files), defining the parameters, the factors, the variables, etc., for carrying out a particular process on or for a semiconductor wafer or to a system. The program instructions are, in some embodiments, a part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

[00165] The controller, in some embodiments, is a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller is in a“cloud” or all or a part of a fab host computer system, which allows for remote access of the wafer processing. The computer enables remote access to the system to monitor current progress of fabrication operations, examines a history of past fabrication operations, examines trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.

[00166] In some embodiments, a remote computer (e.g. a server) provides process recipes to a system over a network, which includes a local network or the Internet. The remote computer includes a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify the parameters, factors, and/or variables for each of the processing steps to be performed during one or more operations. It should be understood that the parameters, factors, and/or variables are specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller is distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, which includes the processes and controls described herein. An example of a distributed controller for such purposes includes one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

[00167] Without limitation, in various embodiments, example systems to which the methods are applied include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that is associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[00168] It is further noted that in some embodiments, the above-described operations apply to several types of plasma chambers, e.g., a plasma chamber including an inductively coupled plasma (ICP) reactor, a transformer coupled plasma chamber, conductor tools, dielectric tools, a plasma chamber including an electron cyclotron resonance (ECR) reactor, etc. For example, one or more RF generators are coupled to an inductor within the ICP reactor. Examples of a shape of the inductor include a solenoid, a dome-shaped coil, a flat-shaped coil, etc.

[00169] As noted above, depending on the process step or steps to be performed by the tool, the host computer communicates with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

[00170] With the above embodiments in mind, it should be understood that some of the embodiments employ various computer-implemented operations involving data stored in computer systems. These operations are those physically manipulating physical quantities. Any of the operations described herein that form part of the embodiments are useful machine operations.

[00171] Some of the embodiments also relate to a hardware unit or an apparatus for performing these operations. The apparatus is specially constructed for a special purpose computer. When defined as a special purpose computer, the computer performs other processing, program execution or routines that are not part of the special purpose, while still being capable of operating for the special purpose.

[00172] In some embodiments, the operations may be processed by a computer selectively activated or configured by one or more computer programs stored in a computer memory, cache, or obtained over the computer network. When data is obtained over the computer network, the data may be processed by other computers on the computer network, e.g., a cloud of computing resources.

[00173] One or more embodiments can also be fabricated as computer-readable code on a non-transitory computer-readable medium. The non-transitory computer-readable medium is any data storage hardware unit, e.g., a memory device, etc., that stores data, which is thereafter be read by a computer system. Examples of the non-transitory computer- readable medium include hard drives, network attached storage (NAS), ROM, RAM, compact disc-ROMs (CD-ROMs), CD-recordables (CD-Rs), CD-rewri tables (CD-RWs), magnetic tapes and other optical and non-optical data storage hardware units. In some embodiments, the non-transitory computer-readable medium includes a computer-readable tangible medium distributed over a network-coupled computer system so that the computer- readable code is stored and executed in a distributed fashion.

[00174] Although the method operations above were described in a specific order, it should be understood that in various embodiments, other housekeeping operations are performed in between operations, or the method operations are adjusted so that they occur at slightly different times, or are distributed in a system which allows the occurrence of the method operations at various intervals, or are performed in a different order than that described above.

[00175] It should further be noted that in an embodiment, one or more features from any embodiment described above are combined with one or more features of any other embodiment without departing from a scope described in various embodiments described in the present disclosure. [00176] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications can be practiced within the scope of appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.