Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HIGH TEMPERATURE THERMAL ALD SILICON NITRIDE FILMS
Document Type and Number:
WIPO Patent Application WO/2017/034855
Kind Code:
A1
Abstract:
Methods for the deposition of SiN films comprising sequential exposure of a substrate surface to a silicon halide precursor at a temperature greater than or equal to about 600°C and a nitrogen-containing reactant.

Inventors:
LU XINLIANG (US)
LEI PINGYAN (US)
KAO CHIEN-TEH (US)
BALSEANU MIHAELA (US)
XIA LI-QUN (US)
SRIRAM MANDYAM (US)
Application Number:
PCT/US2016/047150
Publication Date:
March 02, 2017
Filing Date:
August 16, 2016
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L21/02; H01L21/205; H01L21/285; H01L21/324
Foreign References:
US20140023794A12014-01-23
US20130244446A12013-09-19
KR100443085B12004-08-04
US20150104955A12015-04-16
US20120205720A12012-08-16
Attorney, Agent or Firm:
BLANKMAN, Jeffrey I. (US)
Download PDF:
Claims:
What is claimed is:

1 . A processing method comprising sequentially exposing a substrate surface to a silicon halide precursor at a temperature greater than or equal to about 600QC and a nitrogen-containing reactant to form a silicon nitride film.

2. A processing method comprising:

exposing at least a portion of a substrate surface to a silicon halide precursor at a temperature in the range of about 600QC to about 900QC to form a silicon halide layer on the substrate surface; and

exposing the silicon halide layer to a nitrogen-containing reactant to form a silicon nitride film on the substrate surface.

3. The method of claim 1 or 2, wherein the silicon halide precursor comprises one or more of SiCI4, SiBr4, Sil4, SiClxBrylz (where each of x, y and z is in the range of about 0 to about 4 and the sum of x, y and z is about 4) and a compound having the empirical formula SiyX2y+2 (wherein y is greater than or equal to 2 and X is one or more of chlorine, bromine and iodine). 4. The method of claim 1 or 2, wherein the silicon halide precursor comprises substantially no Si-H bonds.

5. The method of claim 1 or 2, wherein the silicon halide precursor comprises substantially only SiCI4.

6. The method of claim 1 or 2, wherein the nitrogen-containing reactant comprises one or more of ammonia, nitrogen, nitrogen plasma or hydrazine.

7. The method of claim 1 or 2, wherein the silicon nitride film has a refractive index greater than or equal to about 1 .90.

8. The method of claim 1 or 2, wherein the silicon nitride film has a wet etch rate ratio in dilute HF less than about 1 8.

9. The method of claim 1 or 2, wherein the silicon halide precursor is exposed to the substrate at a temperature greater than about 700QC.

10. The method of claim 9, wherein the silicon nitride film has a refractive index greater than about 1 .95, a density greater than about 3.00 and a wet etch rate in dilute HF less than about 6.

1 1 . The method of claim 1 or 2, wherein the silicon nitride film is formed at a temperature greater than or equal to about 700QC.

12. The method of claim 1 or 2, further comprising repeating to form a silicon nitride film of a predetermined thickness.

13. The method of claim 1 or 2, wherein the silicon nitride film has a refractive index greater than or equal to about 1 .90, a density greater than or equal to about 3.00 and a wet etch rate in dilute HF of less than or equal to about 6.0.

14. The method of claim 1 or 2, wherein the substrate surface comprises at least one feature having a top and sidewall with an aspect ratio greater than or equal to about 30:1 and the silicon nitride film has a conformality of greater than 95% (sidewall/top).

15. A processing method comprising:

placing a substrate having a substrate surface into a processing chamber comprising a plurality of sections, each section separated from adjacent sections by a gas curtain;

exposing at least a portion of the substrate surface a first process condition in a first section of the processing chamber to form a silicon halide film on the substrate surface, the first process condition comprising a silicon halide precursor comprising substantially only SiCI4 and a processing temperature in the range of about 600QC to about 650QC;

laterally moving the substrate surface through a gas curtain to a second section of the processing chamber;

exposing the silicon halide film to a second process condition in a second section of the processing chamber to form a silicon nitride film, the second process condition comprising a nitrogen-containing reactant comprising one or more of nitrogen, nitrogen plasma, ammonia or hydrazine; and

laterally moving the substrate surface through a gas curtain; and repeating exposure to the first process condition and the second process condition including lateral movement of the substrate surface to form a silicon nitride film of a predetermined thickness.

Description:
HIGH TEMPERATURE THERMAL ALD SILICON NITRIDE FILMS

TECHNICAL FIELD

[0001] The present invention relates generally to methods of depositing thin films. In particular, the invention relates to atomic layer deposition processes for the deposition of films comprising high quality Si-H free silicon nitride.

BACKGROUND

[0002] Silicon nitride films may play an important role in the integrated circuit industry including the manufacture of transistors, as a nitride spacer, or in memory, as the charge trapping layer or inter-Poly layer. In order to deposit these films with good step coverage over nanoscale, high-aspect ratio structures, a film deposition called Atomic Layer Deposition (ALD) is needed. ALD is the deposition of a film by sequentially pulsing two or more precursors separated by an inert purge. This allows the film growth to proceed layer by layer and is limited by the surface active sites. Film growth in this manner allows for thickness control over complex structures, including re-entrance features.

[0003] With increased use of 3D structures, silicon nitride films with better conformality and higher quality than conventional SiN films are of interest. Current state of the art processes include low pressure chemical vapor deposition (LPCVD) SiN, plasma enhanced chemical vapor deposition (PECVD) SiN and plasma enhanced atomic layer deposition (PEALD) SiN. LPCVD is generally performed in a furnace with high thermal budget. Wafer-to-wafer repeatability is an issue. PEALD is a newer process used for SiN deposition. The plasma or chemical radicals are not uniformly effective with high aspect ratio structures like those used in VNAND and DRAM. There is a need in the art for thermal ALD processes that can deposit conformal SiN films with low wet etch rate, low leakage current and high density.

SUMMARY

[0004] One or more embodiments of the disclosure are directed to processing methods comprising sequentially exposing a substrate surface to a silicon halide precursor at a temperature greater than or equal to about 600 Q C and a nitrogen- containing reactant to form a silicon nitride film.

[0005] Additional embodiments of the disclosure are directed to processing methods comprising exposing at least a portion of a substrate surface to a silicon halide precursor at a temperature in the range of about 600 Q C to about 900 Q C to form a silicon halide layer on the substrate surface. The silicon halide layer is exposed to a nitrogen-containing reactant to form a silicon nitride film on the substrate surface.

[0006] Further embodiments of the disclosure are directed processing methods comprising placing a substrate having a substrate surface into a processing chamber comprising a plurality of sections, each section separated from adjacent sections by a gas curtain. At least a portion of the substrate surface is exposed to a first process condition in a first section of the processing chamber to form a silicon halide film on the substrate surface. The first process condition comprises a silicon halide precursor comprising substantially only SiCI4 and a processing temperature in the range of about 600 Q C to about 650 Q C. The substrate surface is laterally moved through a gas curtain to a second section of the processing chamber. The silicon halide film is exposed to a second process condition in a second section of the processing chamber to form a silicon nitride film. The second process condition comprises a nitrogen- containing reactant comprising one or more of nitrogen, nitrogen plasma, ammonia or hydrazine. The substrate surface is laterally moved through a gas curtain. Exposure to the first process condition and the second process condition including lateral movement of the substrate surface is repeated to form a silicon nitride film of a predetermined thickness.

BRIEF DESCRIPTION OF THE DRAWINGS

[0007] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

[0008] FIG. 1 shows a cross-sectional view of a batch processing chamber in accordance with one or more embodiment of the disclosure;

[0009] FIG. 2 shows a partial perspective view of a batch processing chamber in accordance with one or more embodiment of the disclosure;

[0010] FIG. 3 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure;

[0011] FIG. 4 shows a schematic view of a portion of a wedge shaped gas distribution assembly for use in a batch processing chamber in accordance with one or more embodiment of the disclosure; and

[0012] FIG. 5 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure.

DETAILED DESCRIPTION

[0013] Before describing several exemplary embodiments of the invention, it is to be understood that the invention is not limited to the details of construction or process steps set forth in the following description. The invention is capable of other embodiments and of being practiced or being carried out in various ways. It is also to be understood that the complexes and ligands of the present invention may be illustrated herein using structural formulas which have a particular stereochemistry. These illustrations are intended as examples only and are not to be construed as limiting the disclosed structure to any particular stereochemistry. Rather, the illustrated structures are intended to encompass all such complexes and ligands having the indicated chemical formula.

[0014] One or more embodiments of the disclosure are directed to atomic layer deposition (ALD) processes with alternating exposure of silicon halide precursor and nitrogen-containing chemicals with pump/purge between. Some embodiments advantageously deposit SiN films with higher density and low wet etch rate. One or more embodiments advantageously allow high temperature (generally >600 Q C) deposition of SiN films. Some embodiments use silicon halide precursors to advantageously address the high temperature decomposition issues and avoid Si-H bonds in the precursor like that found in DCS, HCDS and SiH 4 . In one or more embodiments, precursors including SiCI 4 , SiBr 4 and Sil 4 and/or combinations have been found to have higher decomposition temperatures, stability and low cost. The N- containing chemicals include, but are not limited to, NH 3 , N 2 H 2 and combinations thereof.

[0015] A "substrate" as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present invention, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term "substrate surface" is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

[0016] According to one or more embodiments, the method uses an atomic layer deposition (ALD) process. In such embodiments, the substrate surface is exposed to the precursors (or reactive gases) sequentially or substantially sequentially. As used herein throughout the specification, "substantially sequentially" means that a majority of the duration of a precursor exposure does not overlap with the exposure to a co- reagent, although there may be some overlap. As used in this specification and the appended claims, the terms "precursor", "reactant", "reactive gas" and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.

[0017] One or more embodiments of the disclosure are directed to processing methods comprising sequentially exposing a substrate surface to a silicon halide precursor and a nitrogen-containing reactant. The sequential exposure of the silicon halide and nitrogen-containing compounds forms a silicon nitride film.

[0018] Some embodiments of the disclosure are directed to ALD processes using SiCI 4 (or SiBr 4 and/or others) and NH 3 (or N 2 H 4 , etc.) at high temperatures to obtain high quality SiN target films for 3D memory applications, like charge trapping layers, IPD layers and ONO layers.

[0019] In some embodiments, the silicon halide precursor comprises one or more halides selected from chlorine, bromine and iodine. In one or more embodiments, the silicon halide precursor comprises one or more of SiCI 4 , SiBr 4 , Sil 4 , SiCI 4x Br y l z (where each of x, y and z are in the range of 0 to 4 and the sum of x, y and z is about 4) and a compound having the empirical formula Si y X 2y+ 2 (wherein y is greater than or equal to 2 and X is one or more of chlorine, bromine and iodine). In one or more embodiments, the silicon halide precursor comprises substantially no Si-H bonds. As used in this specification and the appended claims, the term "substantially no Si-H bonds" means that the silicon halide precursor comprises no more than 5% Si-H bonds relative to the total amount of silicon bonds in the precursor. In some embodiments, there are no more than about 4%, 3%, 2% or 1 % Si-H bonds relative to the total amount of silicon bonds in the precursor.

[0020] The silicon-containing precursor of some embodiments comprises substantially only SiCI 4 . As used in this regard, "substantially only" means that lass than about 5% of the silicon bonds are to atoms other than chlorine or silicon. The silicon-containing precursor of one or more embodiments comprises substantially only SiBr 4 . As used in this regard, "substantially only" means that lass than about 5% of the silicon bonds are to atoms other than bromine or silicon. The silicon-containing precursor of some embodiments comprises substantially only Sil4. As used in this regard, "substantially only" means that lass than about 5% of the silicon bonds are to atoms other than iodine or silicon. Those skilled in the art will understand that the silicon-containing precursor may be flowed into the processing chamber using a carrier gas, e.g. argon. A precursor with substantially only one silicon halide can have any amount of the carrier gas.

[0021] In one or more embodiments, high temperature NH 3 and/or H 2 periodical treatment can be used to improve the quality of the deposited film. For example, every x cycle of deposition and y seconds treatment using NH 3 and/or H 2 to remove impurities as well as to reduce any Si-Si bonds.

[0022] Some embodiments advantageously allow for deposition of films with adjustable Si/N ratios. For Si rich films, for example, additional Si precursor, like DCS, can be used. The additional precursor may have a lower decomposition temperature so that at higher temperature, Si deposition into the film thus adjusting the ratio to be Si rich. For example, a process may follow DCS decomposition/ purge- pump/SiCI 4 /purge-pump/NH 3 /purge-pump or the DCS decomposition can be performed after multiple layer of SiCI 4 /NH 3 deposition.

[0023] In some embodiments, the SiCI 4 -NH 3 process can be employed to deposit a N-rich SiN film at higher temperature. Further increasing the N content may use plasma or remote plasma N radicals to increase N content.

[0024] In some embodiments, the silicon halide precursor comprises halides consisting essentially of bromine and iodine. As used in this specification and the appended claims, the term "consisting essentially of bromine and iodine" means that less than about 5 atomic % of the halogen atoms are fluorine and/or chlorine, either individually or in sum.

[0025] In one or more embodiments, the silicon halide precursor is exposed to the substrate at a temperature in the range of about 600 Q C to about 900 Q C. In some embodiments, the silicon halide precursor is exposed to the substrate at a temperature greater than or equal to about 600 Q C, or 650 Q C, or 700 Q C, or 750 Q C or 800 Q C. In one or more embodiments, the silicon halide precursor comprises substantially only SiCI 4 and is exposed to the substrate at a temperature in the range of about 600 Q C to about 650 Q C. [0026] The nitrogen-containing reactant can be any suitable reactant that can form a SiN film in conjunction with the silicon halide precursor. In some embodiments, the nitrogen-containing reactant comprises one or more of ammonia, nitrogen, nitrogen plasma and/or hydrazine.

[0027] In some embodiments, the silicon nitride films formed have wet etch rates (WER) in dilute HF (e.g, -1 %) less than or equal to about 20, 10, 9, 8, 7, 6, 5 or 4 A/min.

[0028] In one or more embodiments, the deposited silicon nitride film has a refractive index value greater than or equal to about 1 .8, 1 .85, 1 .88, 1 .89, 1 .90, 1 .91 , 1 .92, 1 .93, 1 .94, 1 .95, 1 .96, 1 .97, 1 .98 and even >2.0.

[0029] In some embodiments, the deposited silicon nitride film has a density greater than or equal to about 2.8, 2.82, 2.84, 2.86, 2.88, 2.90, 2.92, 2.94, 2.96, 2.98, 3.00, 3.01 or 3.02 g/cm 3 .

[0030] In some embodiments, the N/Si ratio of the deposited silicon nitride film is less than about 1 .55, 1 .54, 1 .53, 1 .52, 1 .51 , 1 .50, 1 .49, 1 .48, 1 .47, 1 .46, 1 .45, 1 .44, 1 .43, 1 .42, 1 .41 , 1 .40, 1 .39, 1 .38, 1 .37, 1 .36, 1 .35, 1 .34 or 1 .33. For some Si-rich film, the N/Si ratio would be <1 .33.

[0031] Additionally, it has been found that the conformality of the silicon nitride film, when deposited onto a substrate feature was excellent. As used in this regard, the term "feature" means any intentional surface irregularity. Suitable examples of features include, but are not limited to trenches which have a top, two sidewalls and a bottom, peaks which have a top and two sidewalls. In some embodiments, the substrate surface comprises at least one feature having a top and sidewall with an aspect ratio greater than or equal to about 30:1 and the silicon nitride film has a conformality of greater than or equal to about 85%, or greater than or equal to about 90%, or greater than or equal to about 95%, or greater than or equal to about 96%, or greater than or equal to about 97%. Conformality is measured as the thickness of the film at the sidewall of the feature relative to the top of the feature.

[0032] The conformality also was proved for the film properties at different areas of the feature: the HF etch was uniform for films across the features. [0033] Some embodiments of the disclosure are directed to silicon nitride film deposition using a batch processing chamber, also referred to as a spatial ALD chamber. FIG. 1 shows a cross-section of a processing chamber 100 including a gas distribution assembly 120, also referred to as injectors or an injector assembly, and a susceptor assembly 140. The gas distribution assembly 120 is any type of gas delivery device used in a processing chamber. The gas distribution assembly 120 includes a front surface 1 21 which faces the susceptor assembly 140. The front surface 121 can have any number or variety of openings to deliver a flow of gases toward the susceptor assembly 140. The gas distribution assembly 120 also includes an outer edge 124 which in the embodiments shown, is substantially round.

[0034] The type of gas distribution assembly 120 used can vary depending on the particular process being used. Embodiments of the invention can be used with any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. While various types of gas distribution assemblies can be employed (e.g., showerheads), embodiments of the invention may be particularly useful with spatial ALD gas distribution assemblies which have a plurality of substantially parallel gas channels. As used in this specification and the appended claims, the term "substantially parallel" means that the elongate axis of the gas channels extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels. The plurality of substantially parallel gas channels can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel. The gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and out of the processing region through the purge gas P channel(s). A substrate moving from one end of the gas distribution assembly to the other end will be exposed to each of the process gases in turn, forming a layer on the substrate surface.

[0035] In some embodiments, the gas distribution assembly 120 is a rigid stationary body made of a single injector unit. In one or more embodiments, the gas distribution assembly 120 is made up of a plurality of individual sectors (e.g., injector units 122), as shown in FIG. 2. Either a single piece body or a multi-sector body can be used with the various embodiments of the invention described.

[0036] The susceptor assembly 140 is positioned beneath the gas distribution assembly 1 20. The susceptor assembly 140 includes a top surface 141 and at least one recess 142 in the top surface 141 . The susceptor assembly 140 also has a bottom surface 143 and an edge 144. The recess 142 can be any suitable shape and size depending on the shape and size of the substrates 60 being processed. In the embodiment shown in FIG. 1 , the recess 142 has a flat bottom to support the bottom of the wafer, however, the bottom of the recess can vary. In some embodiments, the recess has step regions around the outer peripheral edge of the recess which are sized to support the outer peripheral edge of the wafer. The amount of the outer peripheral edge of the wafer that is supported by the steps can vary depending on, for example, the thickness of the wafer and the presence of features already present on the back side of the wafer.

[0037] In some embodiments, as shown in FIG. 1 , the recess 142 in the top surface 141 of the susceptor assembly 140 is sized so that a substrate 60 supported in the recess 142 has a top surface 61 substantially coplanar with the top surface 141 of the susceptor 140. As used in this specification and the appended claims, the term "substantially coplanar" means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ±0.2 mm. In some embodiments, the top surfaces are coplanar within ± 0.15 mm, ± 0.10 mm or ± 0.05 mm.

[0038] The susceptor assembly 140 of FIG. 1 includes a support post 160 which is capable of lifting, lowering and rotating the susceptor assembly 140. The susceptor assembly may include a heater, or gas lines, or electrical components within the center of the support post 160. The support post 160 may be the primary means of increasing or decreasing the gap between the susceptor assembly 140 and the gas distribution assembly 120, moving the susceptor assembly 140 into proper position. The susceptor assembly 140 may also include fine tuning actuators 162 which can make micro-adjustments to susceptor assembly 140 to create a predetermined gap 170 between the susceptor assembly 140 and the gas distribution assembly 120. [0039] In some embodiments, the gap 170 distance is in the range of about 0.1 mm to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or in the range of about 0.2 mm to about 1 .8 mm, or in the range of about 0.3 mm to about 1 .7 mm, or in the range of about 0.4 mm to about 1 .6 mm, or in the range of about 0.5 mm to about 1 .5 mm, or in the range of about 0.6 mm to about 1 .4 mm, or in the range of about 0.7 mm to about 1 .3 mm, or in the range of about 0.8 mm to about 1 .2 mm, or in the range of about 0.9 mm to about 1 .1 mm, or about 1 mm.

[0040] The processing chamber 1 00 shown in the Figures is a carousel-type chamber in which the susceptor assembly 140 can hold a plurality of substrates 60. As shown in FIG. 2, the gas distribution assembly 120 may include a plurality of separate injector units 122, each injector unit 122 being capable of depositing a film on the wafer, as the wafer is moved beneath the injector unit. Two pie-shaped injector units 122 are shown positioned on approximately opposite sides of and above the susceptor assembly 140. This number of injector units 122 is shown for illustrative purposes only. It will be understood that more or less injector units 122 can be included. In some embodiments, there are a sufficient number of pie-shaped injector units 1 22 to form a shape conforming to the shape of the susceptor assembly 140. In some embodiments, each of the individual pie-shaped injector units 122 may be independently moved, removed and/or replaced without affecting any of the other injector units 122. For example, one segment may be raised to permit a robot to access the region between the susceptor assembly 140 and gas distribution assembly 120 to load/unload substrates 60.

[0041] Processing chambers having multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers experience the same process flow. For example, as shown in FIG. 3, the processing chamber 100 has four gas injector assemblies and four substrates 60. At the outset of processing, the substrates 60 can be positioned between the injector assemblies 30. Rotating 17 the susceptor assembly 140 by 45 Q will result in each substrate 60 which is between injector assemblies 1 20 to be moved to an injector assembly 120 for film deposition, as illustrated by the dotted circle under the injector assemblies 120. An additional 45 Q rotation would move the substrates 60 away from the injector assemblies 30. With spatial ALD injectors, a film is deposited on the wafer during movement of the wafer relative to the injector assembly. In some embodiments, the susceptor assembly 140 is rotated in increments that prevent the substrates 60 from stopping beneath the injector assemblies 120. The number of substrates 60 and gas distribution assemblies 120 can be the same or different. In some embodiments, there are the same number of wafers being processed as there are gas distribution assemblies. In one or more embodiments, the number of wafers being processed are fraction of or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers being processed, where x is an integer value greater than or equal to one.

[0042] The processing chamber 100 shown in FIG. 3 is merely representative of one possible configuration and should not be taken as limiting the scope of the invention. Here, the processing chamber 100 includes a plurality of gas distribution assemblies 120. In the embodiment shown, there are four gas distribution assemblies (also called injector assemblies 30) evenly spaced about the processing chamber 100. The processing chamber 100 shown is octagonal, however, those skilled in the art will understand that this is one possible shape and should not be taken as limiting the scope of the invention. The gas distribution assemblies 120 shown are trapezoidal, but can be a single circular component or made up of a plurality of pie-shaped segments, like that shown in FIG. 2.

[0043] The embodiment shown in FIG. 3 includes a load lock chamber 180, or an auxiliary chamber like a buffer station. This chamber 1 80 is connected to a side of the processing chamber 100 to allow, for example the substrates (also referred to as substrates 60) to be loaded/unloaded from the chamber 100. A wafer robot may be positioned in the chamber 1 80 to move the substrate onto the susceptor.

[0044] Rotation of the carousel (e.g., the susceptor assembly 140) can be continuous or discontinuous. In continuous processing, the wafers are constantly rotating so that they are exposed to each of the injectors in turn. In discontinuous processing, the wafers can be moved to the injector region and stopped, and then to the region 84 between the injectors and stopped. For example, the carousel can rotate so that the wafers move from an inter-injector region across the injector (or stop adjacent the injector) and on to the next inter-injector region where the carousel can pause again. Pausing between the injectors may provide time for additional processing steps between each layer deposition (e.g., exposure to plasma).

[0045] FIG. 4 shows a sector or portion of a gas distribution assembly 220, which may be referred to as an injector unit 122. The injector units 122 can be used individually or in combination with other injector units. For example, as shown in FIG. 5, four of the injector units 122 of FIG. 4 are combined to form a single gas distribution assembly 220. (The lines separating the four injector units are not shown for clarity.) While the injector unit 122 of FIG. 4 has both a first reactive gas port 125 and a second reactive gas port 135 in addition to purge gas ports 155 and vacuum ports 145, an injector unit 122 does not need all of these components.

[0046] Referring to both FIGS. 4 and 5, a gas distribution assembly 220 in accordance with one or more embodiment may comprise a plurality of sectors (or injector units 1 22) with each sector being identical or different. The gas distribution assembly 220 is positioned within the processing chamber and comprises a plurality of elongate gas ports 125, 135, 145 in a front surface 1 21 of the gas distribution assembly 220. The plurality of elongate gas ports 125, 1 35, 145, 155 extend from an area adjacent the inner peripheral edge 123 toward an area adjacent the outer peripheral edge 124 of the gas distribution assembly 220. The plurality of gas ports shown include a first reactive gas port 125, a second reactive gas port 135, a vacuum port 145 which surrounds each of the first reactive gas ports and the second reactive gas ports and a purge gas port 155.

[0047] With reference to the embodiments shown in FIG. 4 or 5, when stating that the ports extend from at least about an inner peripheral region to at least about an outer peripheral region, however, the ports can extend more than just radially from inner to outer regions. The ports can extend tangentially as vacuum port 145 surrounds reactive gas port 125 and reactive gas port 135. In the embodiment shown in FIGS. 4 and 5, the wedge shaped reactive gas ports 125, 1 35 are surrounded on all edges, including adjacent the inner peripheral region and outer peripheral region, by a vacuum port 145. [0048] Referring to FIG. 4, as a substrate moves along path 1 27, each portion of the substrate surface is exposed to the various reactive gases. To follow the path 127, the substrate will be exposed to, or "see", a purge gas port 155, a vacuum port 145, a first reactive gas port 125, a vacuum port 145, a purge gas port 1 55, a vacuum port 145, a second reactive gas port 135 and a vacuum port 145. Thus, at the end of the path 127 shown in FIG. 4, the substrate has been exposed to the first reactive gas 125 and the second reactive gas 1 35 to form a layer. The injector unit 122 shown makes a quarter circle but could be larger or smaller. The gas distribution assembly 220 shown in FIG. 5 can be considered a combination of four of the injector units 122 of FIG. 4 connected in series.

[0049] The injector unit 122 of FIG. 4 shows a gas curtain 150 that separates the reactive gases. The term "gas curtain" is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing. The gas curtain 150 shown in FIG. 4 comprises the portion of the vacuum port 145 next to the first reactive gas port 125, the purge gas port 155 in the middle and a portion of the vacuum port 145 next to the second reactive gas port 135. This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first reactive gas and the second reactive gas.

[0050] Referring to FIG. 5, the combination of gas flows and vacuum from the gas distribution assembly 220 form a separation into a plurality of processing regions 250. The processing regions are roughly defined around the individual reactive gas ports 125, 1 35 with the gas curtain 1 50 between 250. The embodiment shown in FIG. 5 makes up eight separate processing regions 250 with eight separate gas curtains 1 50 between. A processing chamber can have at least two processing region. In some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 1 1 or 1 2 processing regions.

[0051] During processing a substrate may be exposed to more than one processing region 250 at any given time. However, the portions that are exposed to the different processing regions will have a gas curtain separating the two. For example, if the leading edge of a substrate enters a processing region including the second reactive gas port 135, a middle portion of the substrate will be under a gas curtain 150 and the trailing edge of the substrate will be in a processing region including the first reactive gas port 1 25.

[0052] A factory interface 280, which can be, for example, a load lock chamber, is shown connected to the processing chamber 100. A substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference. The substrate 60 may often sit on a susceptor assembly to be held near the front surface 121 of the gas distribution plate 1 20. The substrate 60 is loaded via the factory interface 280 into the processing chamber 1 00 onto a substrate support or susceptor assembly (see FIG. 3). The substrate 60 can be shown positioned within a processing region because the substrate is located adjacent the first reactive gas port 125 and between two gas curtains 150a, 150b. Rotating the substrate 60 along path 127 will move the substrate counter-clockwise around the processing chamber 100. Thus, the substrate 60 will be exposed to the first processing region 250a through the eighth processing region 250h, including all processing regions between. For each cycle around the processing chamber, using the gas distribution assembly shown, the substrate 60 will be exposed to four ALD cycles of first reactive gas and second reactive gas.

[0053] The conventional ALD sequence in a batch processor, like that of FIG. 5, maintains chemical A and B flow respectively from spatially separated injectors with pump/purge section between. The conventional ALD sequence has a starting and ending pattern which might result in non-uniformity of the deposited film. The inventors have surprisingly discovered that a time based ALD process performed in a spatial ALD batch processing chamber provides a film with higher uniformity. The basic process of exposure to gas A, no reactive gas, gas B, no reactive gas would be to sweep the substrate under the injectors to saturate the surface with chemical A and B respectively to avoid having a starting and ending pattern form in the film. The inventors have surprisingly found that the time based approach is especially beneficial when the target film thickness is thin (e.g., less than 20 ALD cycles), where starting and ending pattern have a significant impact on the within wafer uniformity performance. [0054] Accordingly, embodiments of the invention are directed to processing methods comprising a processing chamber 100 with a plurality of processing regions 250a-250h with each processing region separated from an adjacent region by a gas curtain 1 50. For example, the processing chamber shown in FIG. 5. The number of gas curtains and processing regions within the processing chamber can be any suitable number depending on the arrangement of gas flows. The embodiment shown in FIG. 5 has eight gas curtains 150 and eight processing regions 250a-250h. The number of gas curtains is generally equal to or greater than the number of processing regions. For example, if region 250a had no reactive gas flow, but merely served as a loading area, the processing chamber would have seven processing regions and eight gas curtains.

[0055] A plurality of substrates 60 are positioned on a substrate support, for example, the susceptor assembly 140 shown FIGS. 1 and 2. The plurality of substrates 60 are rotated around the processing regions for processing. Generally, the gas curtains 150 are engaged (gas flowing and vacuum on) throughout processing including periods when no reactive gas is flowing into the chamber.

[0056] A first reactive gas A is flowed into one or more of the processing regions 250 while an inert gas is flowed into any processing region 250 which does not have a first reactive gas A flowing into it. For example if the first reactive gas is flowing into processing regions 250b through processing region 250h, an inert gas would be flowing into processing region 250a. The inert gas can be flowed through the first reactive gas port 125 or the second reactive gas port 135.

[0057] The inert gas flow within the processing regions can be constant or varied. In some embodiments, the reactive gas is co-flowed with an inert gas. The inert gas will act as a carrier and diluent. Since the amount of reactive gas, relative to the carrier gas, is small, co-flowing may make balancing the gas pressures between the processing regions easier by decreasing the differences in pressure between adjacent regions.

[0058] Accordingly, one or more embodiments of the disclosure are directed to processing methods utilizing a batch processing chamber like that shown in FIG. 5. A substrate 60 is placed into the processing chamber which has a plurality of sections 250, each section separated from adjacent section by a gas curtain 150. At least a portion of the substrate surface is exposed to a first process condition in a first section 250a of the processing chamber. In an embodiment in which an argon plasma exposure is incorporated, the first process condition comprises the argon plasma to form a treated substrate surface. The substrate surface is laterally moved through a gas curtain 150 to a second section 250b. The treated substrate surface is exposed to a second process condition comprising a silicon halide precursor to form a silicon halide film on the substrate surface in the second section of the processing chamber. The substrate surface is laterally moved with the silicon halide film through a gas curtain 150 to a third section 250c of the processing chamber. The silicon halide film is exposed to a third process condition comprising a nitrogen-containing reactant to form a silicon nitride film on the substrate surface in the third section 250c of the processing chamber. The substrate surface is laterally moved from the third section 250 c through a gas curtain 150. The substrate surface can then be repeatedly exposed to additional first, second and/or third process conditions to form a film with a predetermined film thickness.

[0059] According to one or more embodiments, the substrate is subjected to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool" or "clustered system," and the like.

[0060] Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present invention are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif. The exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.

[0061] According to one or more embodiments, the substrate is continuously under vacuum or "load lock" conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are "pumped down" under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.

[0062] The substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed. The substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.

[0063] During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.

[0064] The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discreet steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

[0065] In atomic layer deposition type chambers, the substrate can be exposed to the first and second precursors either spatially or temporally separated processes. Temporal ALD is a traditional process in which the first precursor flows into the chamber to react with the surface. The first precursor is purged from the chamber before flowing the second precursor. In spatial ALD, both the first and second precursors are simultaneously flowed to the chamber but are separated spatially so that there is a region between the flows that prevents mixing of the precursors. In spatial ALD, the substrate is moved relative to the gas distribution plate, or vice-versa.

[0066] In embodiments, where one or more of the parts of the methods takes place in one chamber, the process may be a spatial ALD process. Although one or more of the chemistries described above may not be compatible {i.e., result in reaction other than on the substrate surface and/or deposit on the chamber), spatial separation ensures that the reagents are not exposed to each in the gas phase. For example, temporal ALD involves the purging the deposition chamber. However, in practice it is sometimes not possible to purge all of the excess reagent out of the chamber before flowing in additional regent. Therefore, any leftover reagent in the chamber may react. With spatial separation, excess reagent does not need to be purged, and cross-contamination is limited. Furthermore, a lot of time can be required to purge a chamber, and therefore throughput can be increased by eliminating the purge step.

[0067] Examples

[0068] A deposition study was performed in which substrates were sequentially exposed to a SiCI 4 as a silicon precursor and N H 3 as a nitrogen-containing reactant. The basic sequence used was: SiCI 4 exposure, purge with non-reactive gas, NH 3 exposure, purge with non-reactive gas, and repeat. The deposition of SiN was performed at various temperatures and film parameters were measured. The results are collected in Table 1 .

Table 1 . Film Parameters as a Function of Deposition Temperature.

Refractive Density WER

Temperature ( Q C)

Index (g/cm 3 ) (A/min)

600 1 .91 2.84 - 1 8

650 1 .95 2.92 -7.5

700 1 .97 3.01 -5.1

725 1 .98 3.02 -4.0

[0069] The refractive index and density of the deposited SiN films increased as a function of deposition temperature. The wet etch rate of the deposited SiN films decreased as a function of temperature. FTIR analysis of the deposited films indicated that there were less NH bonds at higher deposition temperatures.

[0070] The composition of SiN films deposited at various temperature and pressures was analyzed by RBS and XPS for Si, N and H (shown in atomic percent). The data is collected in Table 2.

Table 2. Film Composition.

Temperature

N Si H N/Si

(°-C)

600 52.5 37.5 1 0 1 .40

650 56.5 38 5.5 1 .49 700 56.5 37.5 6 1 .51

[0071] The hydrogen content of the deposited film decreased as deposition temperature increased. The N/Si ratio of the film increased with higher temperature.

[0072] Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

[0073] Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and their equivalents.