Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HIGH THROUGHPUT CLEANER CHAMBER
Document Type and Number:
WIPO Patent Application WO/2009/120360
Kind Code:
A2
Abstract:
A wafer cleaning chamber comprising a plurality of carrier arms each having concentrically-mounted midpoints between opposing ends of the carrier arms with a wafer carrier mounted on each of the opposing ends of the carrier arms. A hub includes a plurality of concentrically mounted drives where each of the plurality of drives is coupled near the midpoint of a respective one of the plurality of carrier arms. Each of the plurality of drives is configured to be controlled independently of the remaining plurality of concentrically-mounted drives. A respective motor is coupled to each of the concentrically mounted drives and is configured to move the coupled carrier arm in a rotary manner under control of a program containing a velocity profile. At least one cleaning chemical-supply head is positioned proximate to a path of the wafer carriers.

Inventors:
LENZ ERIC H (US)
Application Number:
PCT/US2009/001900
Publication Date:
October 01, 2009
Filing Date:
March 27, 2009
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
LENZ ERIC H (US)
International Classes:
H01L21/677; H01L21/302
Foreign References:
KR20070107361A2007-11-07
KR20020062562A2002-07-26
JP2004193418A2004-07-08
JP2003068819A2003-03-07
JP2004200329A2004-07-15
Other References:
See references of EP 2272089A4
Attorney, Agent or Firm:
STEFFEY, Charles, E. et al. (P.A.P.O. Box 293, Minneapolis MN, US)
Download PDF:
Claims:

What is claimed is:

1. A substrate carrier system, comprising: a plurality of carrier arms each having a midpoint between opposing ends of the carrier arm, the plurality of carrier arms further having at least one respective substrate carrier mounted on each end of the carrier arm, a hub including a plurality of concentrically mounted drives, each of the plurality of drives is coupled near the midpoint of a respective one of the plurality of carrier arms and configured to be controlled independently of the remaining plurality of concentrically mounted drives; and a respective drive motor coupled to each of the concentrically mounted drives and configured to move the coupled carrier arm in a rotary manner.

2. The substrate carrier system of claim 1 further comprising at least one load/unload port positioned proximal to an outer periphery of the substrate carriers.

3. The substrate carrier system of claim 2 further comprising a lifter station positioned beneath a circular path traversed by midpoints of the substrate carriers and positioned on a radial line between the midpoint of the plurality of carrier arms the at least one load/unload port.

4. The substrate carrier system of claim 1 further comprising an inner track section and an outer track section each mounted concentrically with the hub and arranged to respectively support an inner and outer periphery of each of the substrate carriers.

5. The substrate carrier system of claim 4 wherein the outer periphery of each of the substrate carriers is supported by both a topside portion and a bottom-side portion of the outer track section.

6. The substrate carrier system of claim 4 wherein the inner periphery of each of the substrate carriers is supported by a topside portion of the inner track section.

7. The substrate carrier system of claim 1 wherein each of the drive motors is a stepper motor.

8. The substrate carrier system of claim 1 wherein each of the drive motors is a servo motor.

9. The substrate carrier system of claim 1 wherein each of the drive motors is independently programmable by a velocity profile.

10. The substrate carrier system of claim 1 wherein each of the drive motors is configured to be programmed by a similar velocity profile.

11. The substrate carrier system of claim 10 wherein the similar velocity profile programmed into each of the drive motors is configured to be temporally offset from each of the other velocity profiles.

12. The substrate carrier system of claim 1 further comprising at least one chemical-supply head.

13. The substrate carrier system of claim 12 wherein the at least one chemical- supply head is positioned above a path of the substrate carriers.

14. The substrate carrier system of claim 12 wherein the at least one chemical- supply head is positioned below a path of the substrate carriers.

15. The substrate carrier system of claim 12 wherein the at least one chemical- supply head has a wider cross-sectional width at an outer periphery of the head than at an inner periphery of the head.

16. A method of programming a substrate carrier system having n drive motors, each of the n drive motors being coupled to a respective independent rotary substrate carrier, the method comprising: providing a base velocity profile for programming a first of the n drive motors including the steps of: programming a first time period portion to positively accelerate the first drive motor; programming a second time period portion to negatively accelerate the first drive motor; programming a third period portion to maintain the first drive motor at a constant velocity; programming a fourth time period portion to positively accelerate the first drive motor; programming a fifth time period to negatively accelerate the first drive motor; and programming a sixth time period to maintain the first drive motor in a fixed position; and applying the base velocity profile to a program controlling the first of the n drive motors to provide motion to a first of the independent rotary substrate carriers.

17. The method of claim 16 further comprising: programming a seventh time period portion to negatively accelerate the first drive motor, the seventh time period portion arranged to occur between the third and fourth time period portions; and programming an eighth time period portion to follow the seventh time period and maintain the first drive motor at a constant velocity.

18. The method of claim 16 further comprising: producing n-1 versions of the velocity profile, each of the n-1 versions being temporally shifted from an immediately prior version; and applying each of the n-1 versions of the velocity profile to each of the n-1 drive motors remaining in the substrate carrier system.

19. A processor-readable storage medium storing an instruction that, when executed by a processor, causes the processor to perform a method of programming a substrate carrier system having n drive motors, each of the n drive motors being coupled to an independent rotary substrate carrier, the method comprising: providing a base velocity profile for programming a first of the n drive motors including the steps of: programming a first time period portion to positively accelerate the first drive motor; programming a second time period portion to negatively accelerate the first drive motor; programming a third period portion to maintain the first drive motor at a constant velocity; programming a fourth time period portion to positively accelerate the first drive motor; programming a fifth time period to negatively accelerate the first drive motor; and programming a sixth time period to maintain the first drive motor in a fixed position; and applying the base velocity profile to a program controlling the first of the n drive motors to provide motion to a first of the independent rotary substrate carriers.

20. The processor-readable storage medium of claim 19 wherein the method further comprises: programming a seventh time period portion to negatively accelerate the first drive motor, the seventh time period arranged to occur between the third and fourth time period portions; and programming an eighth time period to follow the seventh time period and maintain the first drive motor at a constant velocity.

21. The processor-readable storage medium of claim 19 wherein the method further comprises: producing n-1 versions of the velocity profile, each of the n-1 versions being temporally shifted from an immediately prior version; and applying each of the n-1 versions of the velocity profile to each of the n-1 drive motors remaining in the substrate carrier system.

22. A wafer cleaning chamber, comprising: a plurality of carrier arms having concentrically-mounted midpoints between opposing ends of the carrier arms, the plurality of carrier arms further having a respective wafer carrier mounted on each of the opposing ends of the carrier arms, a hub including a plurality of concentrically mounted drives, each of the plurality of drives being coupled near the midpoint of a respective one of the plurality of carrier arms, each of the plurality of drives being configured to be controlled independently of the remaining plurality of concentrically mounted drives; a respective motor coupled to each of the concentrically-mounted drives and configured to move the coupled carrier arm in a rotary manner under control of a program containing a velocity profile; and at least one cleaning chemical-supply head positioned proximate to a path of the wafer carriers.

23. The wafer cleaning system of claim 22 further comprising at least one load/unload port positioned proximate to an outer periphery of the wafer carriers.

24. The wafer cleaning system of claim 23 further comprising a lifter station positioned beneath a circular path traversed by midpoints of the substrate carriers and positioned on a radial line between the midpoint of the plurality of carrier arms the at least one load/unload port.

25. The wafer cleaning system of claim 22 wherein the at least one cleaning chemical-supply head has a wider cross-sectional width at an outer periphery of the head than at an inner periphery of the head.

26. The wafer cleaning system of claim 22 wherein the velocity profile programmed into each of the motors is temporally offset from each of the other velocity profiles.

27. The wafer cleaning system of claim 22 wherein each of the motors is a stepper motor.

28. The wafer cleaning system of claim 22 wherein each of the motors is a servo motor.

Description:

HIGH THROUGHPUT CLEANER CHAMBER

RELATED APPLICATIONS

This application claims priority to U.S. Provisional Application Serial No. 61/040,023 filed March 27, 2008, and to U.S. Patent Application Serial No. 12/145,707 filed June 25, 2008, each of which are incorporated herein in their entirety by this reference.

TECHNICAL FIELD

The present invention relates generally to the field of process equipment used in the semiconductor, data storage, flat panel display, as well as in allied or other industries. More particularly, the present invention relates to a rotary-stage wafer handler located within a cleaning chamber.

BACKGROUND

Semiconductor device geometries (i.e., integrated circuit design rules) have decreased dramatically in size since such devices were first introduced several decades ago. Integrated circuits (ICs) have generally followed "Moore's Law," meaning that the number of devices which will fit on a single integrated circuit chip doubles every two years. Today's IC fabrication facilities routinely produce 65 nm (0.065 μm) feature size devices, and future fabs will soon be producing devices having even smaller feature sizes. Not surprisingly, semiconductor integrated circuit fabrication is a complicated process involving a coordinated series of accurate, precise, and repeatable operations. During the fabrication operations, surfaces of a semiconductor substrate (e.g., a semiconductor wafer) become contaminated with layers of residue comprised of particulates, organic materials, metallic impurities (e.g., copper (Cu), aluminum (Al), titanium (Ti), and tungsten (W)), and native oxides (e.g., silicon dioxide).

An increasingly important task in semiconductor processing is the cleaning and preparation of the wafer surface prior to subsequent processing steps. A goal of this cleaning is to remove contaminants and native oxides from

wafer surfaces. Wafer cleaning is, in fact, the most frequently repeated operation in integrated circuit fabrication and is one of the most important segments in the semiconductor-equipment business. However, each integrated circuit device generation becomes increasingly difficult to properly clean. For example, roughly 20% of all process steps in a contemporary fab are cleaning steps. The percentage of cleaning steps continues to increase with each advance in design rules. While the number of cleanings increases, the requirement levels for impurity concentrations, particle size and quantity, water and chemical usage, and the amount of surface microroughness continues to increase as well. Not only is wafer cleaning needed now before each new process sequence, but also additional steps are often required to clean fab process tools after a production run.

Two major types of cleaning processes exist: wet cleaning methods and dry cleaning methods. Liquid chemical cleaning processes, generally referred to as wet cleaning, rely on a combination of solvents, acids, and water to spray, scrub, etch, and dissolve contaminants from the wafer surface. Dry cleaning processes use gas phase chemistry, and rely on chemical reactions required for wafer cleaning, as well as other techniques such as lases, ions, aerosols, and ozonated chemistries. Generally, dry cleaning methods use fewer chemicals and are less hazardous for the environment but usually do not perform as well as wet methods, especially for particle removal.

For wet-chemical cleaning methods, the RCA clean, developed in 1965, still forms the basis for most front-end-of-line (FEOL) wet cleans. A typical RC A-type cleaning sequence starts with the use of a sulfuric acid/hydrogen peroxide (H 2 SO 4 ZH 2 O 2 , commonly called a "piranha etch") solution followed by a dip in diluted hydrofluoric acid (HF). A standard clean first operation ("SC-I clean") uses a solution of ammonium hydroxide/hydrogen peroxide/water (NH 4 OH/H 2 O 2 /H 2 O, also known as a "base piranha") to remove particles, while a standard clean second operation ("SC-2 clean") uses a solution of hydrochloric acid/hydrogen peroxide/water (HC1/H 2 O 2 /H 2 O) to remove metals. Despite increasingly stringent process demands and enhanced improvements in analytical techniques, cleanliness of chemicals, and deionized (DI) water, the basic cleaning recipes have remained generally unchanged since the first introduction of this cleaning technology. Since environmental concerns and

cost-effectiveness were not a major issue 40 years ago, the RCA cleaning procedure is far from optimal in these respects and must therefore be applied efficiently and cost-effectively.

Perhaps more importantly, from a yield and cost basis standpoint, the type of equipment used in the clean process is becoming a primary driver. The clean process must be effective, but it must also be fast. Contemporary throughput demands for current generation 300 mm wafers are 360 wafers per hour. Presently, systems use a linear wafer motion requiring a non-productive time period while the wafer carrier is returned to the starting point in a wafer cleaning tool. Thus, wafer handling is slow. Proposed solutions to increase throughput have focused on joining a plurality of cleaning tools in parallel. While such solutions increase substrate throughput, they do so at the expense of tool footprint, increased equipment cost, and reduced reliability.

Currently available semiconductor substrate cleaning equipment suffers from a high cost-per-unit of wafer cleaned, a high cost-of-ownership, a lack of scalability, and an inability to easily adapt to various processing sequences or to increases in semiconductor wafer sizes. Among the many factors that contribute to wafer cleaning costs, the capital cost of wafer handlers which move semiconductor wafers between various locations presents a significant expense. Accordingly, improvements are needed in the field of semiconductor wafer cleaning with a special emphasis on equipment reliability, throughput, and efficiency.

SUMMARY In an exemplary embodiment, a substrate carrier system is disclosed.

The substrate carrier system comprises a plurality of carrier arms, each having a midpoint located between opposing ends of the arm. The plurality of carrier arms further has at least one substrate carrier mounted on each of the opposing ends of the carrier arms. A hub includes a plurality of concentrically mounted drives. Each of the plurality of drives is coupled near the midpoint of a respective one of the plurality of carrier arms and is configured to be controlled independently of the remaining plurality of concentrically mounted drives. A drive motor is coupled to each of the concentrically mounted drives and is configured to move the coupled carrier arm in a rotary manner.

Another exemplary embodiment discloses a method of programming a substrate carrier system having n drive motors where each of the n drive motors is coupled to an independent rotary substrate carrier. The method comprises constructing a base velocity profile for programming a first of the n drive motors including the steps of programming a first time period to accelerate the first drive motor, programming a second time period to negatively accelerate the first drive motor, programming a third period to maintain the first drive motor at a constant velocity, programming a fourth time period to accelerate the first drive motor, programming a fifth time period to negatively accelerate the first drive motor, and programming a sixth time period to maintain the first drive motor in a fixed position. The base velocity profile is applied to a program controlling the first of the n drive motors to provide motion to a first of the independent rotary substrate carriers.

Another exemplary embodiment discloses a processor-readable storage medium storing an instruction. When the instruction is executed by a processor, the instruction causes the processor to perform a method of programming a substrate carrier system having n drive motors where each of the n drive motors is coupled to an independent rotary substrate carrier. The method comprises constructing a base velocity profile for programming a first of the n drive motors including the steps of programming a first time period to accelerate the first drive motor, programming a second time period to negatively accelerate the first drive motor, programming a third period to maintain the first drive motor at a constant velocity, programming a fourth time period to accelerate the first drive motor, programming a fifth time period to negatively accelerate the first drive motor, and programming a sixth time period to maintain the first drive motor in a fixed position. The base velocity profile is applied to a program controlling the first of the n drive motors to provide motion to a first of the independent rotary substrate carriers. hi another exemplary embodiment, a wafer cleaning chamber is disclosed. The chamber comprises a plurality of carrier arms each having concentrically-mounted midpoints located between opposing ends of the carrier arms with a wafer carrier mounted on each of the opposing ends of the carrier arms. A hub includes a plurality of concentrically mounted drives where each of the plurality of drives is coupled near the midpoints of a respective one of the

plurality of carrier arms. Each of the plurality of drives is configured to be controlled independently of the remaining plurality of concentrically mounted drives. A motor is coupled to each of the concentrically mounted drives and is configured to move the coupled carrier arm in a rotary manner under control of a program containing a velocity profile. At least one cleaning chemical-supply head is positioned proximate to a path of the wafer carriers.

BRIEF DESCRIPTION OF THE DRAWINGS

The appended drawings illustrate exemplary embodiments only of the present invention and must not be considered as limiting its scope.

Fig. l is a three-dimensional representation of an exemplary clock arm wafer carrier system in accordance with the present invention.

Fig. 2 is a three-dimensional representation of an exemplary motion drive hub providing driving force for the wafer carrier system of Fig. 1. Fig. 3 is a three-dimensional representation of an exemplary arm connection and tracking system of the wafer carrier system of Fig. 1.

Fig. 4 is an exemplary velocity profile graph used to drive the wafer carrier system of Fig. 1.

DETAILED DESCRIPTION

With reference to Fig. 1, an exemplary clock arm wafer carrier system 100 includes a plurality of rotary arms 101 A, each having an associated wafer carrier 101B, an inner track section 103, an outer track section 105, a plurality of unload station wafer lifters 107, and a plurality of load station wafer lifters 109. Generally, the exemplary clock arm wafer carrier system 100 is maintained as an isolated chamber environment with appropriate fume head type isolation and cleanroom filtering and flow incorporated internally as needed. Each of the plurality of rotary arms 101 A is independently driven and, thus, may be started, stopped, and accelerated independently of the remaining plurality of rotary arms 101 A. Although the exemplary clock arm wafer carrier system 100 shows four rotary arms 101 A, a skilled artisan will quickly recognize that any number of arms may be utilized and scaled as necessary to adapt to a given wafer size.

Also, each of the wafer carriers 101B may be adapted to accommodate other wafer sizes or substrate types. For example, the wafer carriers 101 B may be designed to accommodate 300 mm silicon wafers or 100 mm gallium arsenide (GaAs) wafers. Therefore, as used herein, the term "wafer" is simply chosen as a convenient term referring to any of various substrate types used in the semiconductor and allied industries. Substrate types may therefore include silicon wafers, compound wafers, thin film head assemblies, photomask blanks and reticles, or numerous other types of substrates known in the art. Specific details related to the rotary arm connection to the wafer carriers are discussed with reference to Fig. 3, below.

In a specific exemplary embodiment, the outer track section 105 is physically arranged to accommodate a 30 inch radius from the midpoints of the rotary arms 101 A to the center of the wafer carrier 10 IB. The outer track section 105, of course, can be sized appropriately depending upon the number of rotary arms employed and the size of the substrates handled.

The plurality of wafer lifters 107, 109 may be of any general type commonly known and used in the semiconductor industry. As shown, two load station lifters 109 are spaced approximately 180° apart from one another. Similarly, two unload station lifters 107 are spaced apart approximately 180°. In other embodiments (not shown), there may only a single pair of wafer lifters 107, 109 either with or without a wafer return track though a center portion of the carrier system. Alternatively, in still other embodiments (not shown), a higher number of wafer lifters 107, 109 may be used.

In general operation, once the wafer carrier 101B is positioned over one of the lifters 107, 109, an external robot (not shown) may place a wafer to or from a wafer carrier (e.g., a wafer boat or front opening unified pod (FOUP)) onto one of the lifters 107, 109. The lifter 107, 109 then lowers the wafer onto to the wafer carrier 101 B and the lifter 107, 109 continues to lower far enough to avoid any collisions with any of the rotating wafer arms 101 A. With continued reference to Fig. 1, the exemplary clock arm wafer carrier system 100 further includes an upper chemical-release head 111 and a lower chemical-release head 113 situated so as to spray or otherwise apply chemicals (e.g., such as various combinations of the cleaning chemicals mentioned above) as a wafer passes in proximity to the upper 111 and lower 113 chemical-release

heads. Utilizing at least two heads allows chemicals to be applied to both sides of a wafer in a single pass. Alternatively, the upper 111 and lower 113 chemical-release heads may be arranged to simultaneously apply chemicals to both sides of a wafer. As will be recognizable to a skilled artisan, any number of chemical-release heads may be utilized.

In a specific exemplary embodiment, the upper 111 and lower 113 chemical-release heads are designed in a "pie-section" shape having a wider cross-sectional width at the outer periphery of the carrier system 100 than at its inner periphery. The pie-section shape accommodates the higher angular velocity on the outermost portion of the wafer as compared with the inner portion. Thus, more chemicals may be delivered to the wafer's outer portion through, for example, an increased number of spray nozzles directed at the wafer to insure uniform chemical coverage over the face of the wafer.

Therefore, the exemplary clock arm wafer carrier system 100 provides for continuous flow manufacturing and lends itself to processing without gaps between wafers. As noted above, wet chemical cleaning can involve a number of various steps. Starting and stopping wet chemistry is hard to control, wasteful, and inefficient. The exemplary clock arm wafer carrier system 100 processes wafers in a continuous mode by having the wafer carrier travel in a full 360° arc. Unlike the prior art which runs a linear system requiring a 180° return in which no wafer cleaning or processing occurs, the exemplary clock arm wafer carrier system 100 may run parallel cleaning processes on opposing sides of a the clock system 100 simultaneously. Consequently, chemical control can be shared, thereby reducing control system overhead and redundant circuitry. As such, chemical savings can be as much as 300% (i.e., a four- time reduction in chemical usage) from contemporary linear systems.

Two parallel processes thus occur simultaneously: chemical control and wafer motion. As described in more detail with reference to Figs. 2 and 4, below, independent control of the wafer carrier 10 IB velocities and accelerations allows for an exit step and for loading and unloading the wafer. The independent control further allows a carrier to accelerate to catch up in a process flow once a carrier has been loaded or unloaded, also described in more detail with reference to Fig. 4, below.

With reference to Fig. 2, an exemplary rotary drive system 200 includes a hub containing four concentrically mounted arm drive gears 201, one for each of the rotary arms 101 A (Fig. 1). Four motors 203 are employed, one for each of the concentrically mounted arm drive gears 201. Each of the rotary arms 101 A can be individually driven by employing a separate motor 203 for each of the rotary arms 101 A. An exemplary velocity profile is described with reference to Fig. 4, below.

Drive power is transferred from each of the motors 203 to the respective arm drive gears 201 by, for example, a belt. The belt drive system enhances overall system efficiency over alternative systems such as gear drives. Further, the balanced overall system design (e.g., the symmetrically designed rotary arms 101 A) allows for very low power consumption per each of the four drive motors 203. In this exemplary embodiment, typical power consumption is only 4.5 watts per motor. A skilled artisan will recognize that other types of rotary drive schemes may be employed as well.

In a specific exemplary embodiment, each of the motors 203 may be a standard NEMA 23 frame dimensions such as an SM2315D servo motor with an integral encoder (available from Animatics Corporation, 3200 Patrick Henry Drive, Santa Clara, CA). The motor 203, in this embodiment, is based on a fully-integrated, closed loop servo technology and may incorporate internal, non-volatile memory allowing velocity profile programs to be downloaded from a host computer and saved independently to each motor 203. Further, in this specific exemplary embodiment, all input, output, and internal status information is accessible through defined variables for program monitoring and control. Gear reduction may be accomplished with a 50: 1 planetary reducer and a 4: 1 drive belt reduction thus providing 400,000 counts per revolution with a 2000 encoder count per revolution stepper motor. This specific exemplary embodiment allows for a maximum speed of approximately 1 meter/second and a maximum acceleration of 0.1 g or 0.98 meters/second 2 . Accordingly, the rotary arms 101 A (Fig. 1) move at a maximum angular velocity of approximately 12.5 revolutions per minute.

Referring now to Fig. 3, a detailed view of the exemplary clock arm wafer carrier system 100 of Fig. 1 includes a flex spring arm/carrier connector 301, positioned at each end of the rotary arm 101 A, and one or more outer trucks

303 positioned at the outer edge of the wafer carrier 101B adjacent the outer track section 105.

In a specific exemplary embodiment, the flex spring arm/carrier connector 301 has a load design at 0.3 pound- force with a 3° angle down off the end of the rotary arm 101 A allowing for a zero moment at the flex spring arm/carrier connector 301 and 1.2 inch-pounds of applied torque at the rotary arm 101 A. The flex spring arm/carrier connector 301 rides beneath the inner track section 103. The wafer carrier 101 B continues on at approximately a 0° angle (i.e., substantially horizontally). Two outer trucks are utilized with spring contact of approximately 0.12 pound- force on both the upper and lower sides of the outer track section 105. Followers (not shown specifically in Fig. 3) mounted to the flex spring arm/carrier connector 301 and the outer trucks are fabricated from a chemically-resistant ultra-high molecular weight (UHMW) polyethylene. In alternative exemplary embodiments, the followers are fabricated from bearing-grade Torlon ® plastic. Alternatively, the followers may be fabricated from other materials possessing certain mechanical characteristics such as, depending upon a given application, good strength and impact resistance, creep resistance, dimensional stability, radiation resistance, and chemical resistance. Various materials such as polyamides, polyimides, and acetals, may all be suitable. High temperature-specific plastics and other related materials are generally not required in cleaning applications.

In still other exemplary embodiments, the followers may be machined from a variety of materials including Vespel ® , Celcon ® , Delrin ® , Teflon ® , Arlon ® plastics, or other materials such as fiuropolymers, polytetrafluoroethylenes, and polyetheretherketones (PEEK) having a low coefficient of friction and low particle shedding.

With reference to Fig. 4, an exemplary velocity profile graph 400 of the rotary arms depicts arm velocity, as measured at the center of the wafer, plotted as a function of time for the first 420, second 440, third 460, and fourth 480 rotary arm profiles of the exemplary clock arm wafer carrier system 100 of Fig. 1. Each of the velocity profiles is similar except for a temporal offset of 20 seconds indicating when each of the rotary arms 101 A begins its accompanying cyclical velocity profile in relation to the first rotary arm. For example, the

second rotary arm profile 440 "starts" its velocity profile at a time of to = 20 seconds in relation to the first profile 420.

Each of the velocity profiles further possesses a similar five-period cycle. For example, an entire cycle from the first load to the second load on the same arm is completed after a time period, T, of 80 seconds. During a first time period, T 0 , the first rotary arm accelerates to a maximum velocity of approximately 50 mm/second and then settles to a constant velocity of 20 mm/second after 12 seconds. The acceleration phase allows the first rotary arm to keep ahead of the subsequent, or second, rotary arm, thus avoiding potential collisions. During a second time period, T 1 , the first rotary arm continues at a constant velocity of approximately 20 mm/second for roughly 30 seconds. During a latter portion of the second time period, the first rotary arm is moving under a spray head as depicted in Fig. 1. The first rotary arm slows down slightly to 10 mm/second during the latter portion of the third time period, T 2 . The decreased velocity occurs during a rinse/dry step to improve wafer drying. Compensating for the reduced velocity of period T 2 , the first rotary arm again accelerates to 50 mm/second during a portion of the fourth time period T 3 . The first rotary arm is then stopped during time period T 4 for a load/unload cycle, and the process repeats itself. A similar process continues concurrently with the other three rotary arms.

Table 1, below, indicates a positional location of a center point of the wafer on the first rotary arm after each of the time periods described immediately above.

Table 1

The present invention is described above with reference to specific embodiments thereof. It will, however, be evident to a skilled artisan that various modifications and changes can be made thereto without departing from the broader spirit and scope of the present invention as set forth in the appended claims. For example, particular embodiments describe a number of material types and locations of various elements of the exemplary clock arm wafer carrier system. A skilled artisan will recognize that these materials and particular elements are flexible and are shown herein for exemplary purposes only in order to fully illustrate the novel nature of the system. Additionally, a skilled artisan will further recognize that various loading configurations of the wafer onto the arm are possible such as building the load robot into the rotary arm rather than relying upon an external robot. Additionally, various motor types may be used such as stepper motors. Also, a plurality of substrate carriers may be mounted on each opposing end of the rotary arms as opposed to a single carrier as described above. Further, the system may be used on a variety of, for example, process, metrology, and analytical tools within a fab. Thus, the system has applications that extend beyond cleaning substrates. Moreover, the term semiconductor should be construed throughout to include data storage, flat panel display, as well as allied or other industries. These and various other embodiments are all within a scope of the present invention. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.