Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
INSTRUCTIONS AND LOGIC FOR EVEN AND ODD VECTOR GET OPERATIONS
Document Type and Number:
WIPO Patent Application WO/2017/105716
Kind Code:
A1
Abstract:
A processor includes an execution unit to execute even and odd vector GET instructions. The execution unit includes logic to extract data elements from even numbered locations or from odd numbered locations within two source vector registers. The execution unit includes logic to place the extracted even or odd data elements in contiguous locations in a destination vector. The execution unit includes logic to store the destination vector to a destination vector register specified in the instruction. The data elements stored next to each other in the source vector registers may be respective components of a data structure. A sequence of even and odd vector GET instructions may be executed to extract vectors of data elements of the same type from an array of structures with four strides. The execution unit may include a Single Instruction Multiple Data (SIMD) coprocessor to execute the even and odd vector GET instructions.

Inventors:
OULD-AHMED-VALL ELMOUSTAPHA (US)
Application Number:
PCT/US2016/061960
Publication Date:
June 22, 2017
Filing Date:
November 15, 2016
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INTEL CORP (US)
International Classes:
G06F15/80; G06F9/30
Foreign References:
US6266758B12001-07-24
US20090300331A12009-12-03
US5991531A1999-11-23
US4777593A1988-10-11
US20040073779A12004-04-15
Other References:
See also references of EP 3391235A4
Attorney, Agent or Firm:
OAKS, Brian W. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A processor, comprising:

a front end to receive an instruction;

a decoder to decode the instruction;

a core to execute the instruction, including:

a first source vector register to store data elements of at least two data structures, each data structure to include at least two data elements;

a first logic to extract, dependent on an encoding for the instruction: data elements from even numbered locations within the first source vector register; or

data elements from odd numbered locations within the first source vector register;

a second logic to place the data elements to be extracted from the first source vector register in contiguous locations in a destination vector; and

a third logic to store the destination vector to a destination vector register; and

a retirement unit to retire the instruction.

2. The processor of Claim 1, wherein the core further includes:

a second source vector register to store data elements of at least two additional data structures, each additional data structure to include at least two data elements; a fourth logic to extract, dependent on the encoding for the instruction:

data elements from even numbered locations within the second source vector register; or

data elements from odd numbered locations within the second source vector register; and

a fifth logic to place the data elements to be extracted from the second source vector register in contiguous locations in the destination vector adjacent to the contiguous locations in the destination vector at which the data elements to be extracted from the first source vector register are to be placed.

3. The processor of Claim 1, wherein:

the encoding for the instruction specifies that data elements are to be extracted from even numbered locations within the first source vector register; and

the first logic is to extract alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register.

4. The processor of Claim 1, wherein:

the encoding for the instruction specifies that data elements are to be extracted from odd numbered locations within the first source vector register; and

the first logic is to extract alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register.

5. The processor of Claim 1, wherein at least two of the data elements to be included in each of the two data structures to be stored in the first source vector register are data elements of different types. 6. The processor of Claim 1, wherein:

the core further includes a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register;

for each of one or more bits in a mask register identified in the instruction that are set, a data element that is to be placed in the destination vector is to be stored to the destination vector register; and

for each of one or more bits in the mask register identified in the instruction that are not set, a data element that is to be placed in the destination vector and that would otherwise have been stored to the destination vector register is not to be stored to the destination vector register.

7. The processor of Claim 1, wherein the core further includes:

a fourth logic to determine the number of data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the instruction.

8. The processor of Claim 1, wherein the core further includes:

a fourth logic to determine the number of data structures stored within the first source vector register from which to extract data elements dependent on a parameter value specified for the instruction.

9. The processor of Claim 1, wherein the core further includes:

a fourth logic to load the data elements of the two data structures into the first source vector register prior to execution of the instruction.

10. The processor of Claim 1, wherein:

the core includes a Single Instruction Multiple Data (SIMD) coprocessor to implement execution of the instruction.

11. A method, comprising, in a processor:

receiving a first instruction;

decoding the first instruction;

executing the first instruction, including:

extracting, from a first source vector register and dependent on an encoding for the first instruction:

data elements from even numbered locations within the first source vector register; or

data elements from odd numbered locations within the first source vector register;

placing the data elements extracted from the first source vector register in contiguous locations in a first destination vector; and storing the first destination vector to a first destination vector register; and

retiring the first instruction. 12. The method of Claim 11, wherein executing the first instruction further includes:

extracting, from a second source vector register and dependent on the encoding for the first instruction:

data elements from even numbered locations within the second source vector register; or

data elements from odd numbered locations within the second source vector register; and

placing the data elements extracted from the second source vector register in contiguous locations in the first destination vector adjacent to the contiguous locations in the first destination vector at which the data elements extracted from the first source vector register are placed.

13. The method of Claim 11, further comprising:

receiving a second instruction;

decoding the second instruction;

executing the second instruction, including:

extracting, from the first source vector register and dependent on an encoding for the second instruction, additional data elements from locations within the first source vector register other than the locations within the first source vector register from which data elements were extracted during execution of the first instruction;

placing the additional data elements extracted from the first source vector register in contiguous locations in a second destination vector; and

storing the second destination vector to a second destination vector register; and

retiring the second instruction.

14. The method of Claim 11, further comprising:

applying, to the first destination vector prior to storing it to the first destination vector register, a masking operation, including:

for each of one or more bits in a mask register identified in the first instruction that are set, storing a data element that is placed in the first destination vector to the first destination vector register; and

for each of one or more bits in the mask register identified in the first instruction that are not set, refraining from storing to the first destination vector register a data element that is placed in the first destination vector and that would otherwise have been stored to the first destination vector register.

15. The method of Claim 11, wherein:

executing the first instruction includes:

extracting data elements from even numbered locations within the first source vector register and the second source vector register; and

placing the data elements extracted from within the second source vector register in contiguous locations in the first destination vector adjacent to the locations at which the data elements extracted from the first source vector register are placed; and

the method further comprises:

executing a second instruction, including:

extracting data elements from even numbered locations within a third source vector register and a fourth source vector register; and

storing the data elements extracted from the even numbered locations within the third and fourth source vector registers in contiguous locations in a second destination vector register;

executing third and fourth instructions, including:

extracting data elements from odd numbered locations within the four source vector registers; and

storing the data elements extracted from the odd numbered locations within the four source vector registers in contiguous locations in a third destination vector register and a fourth destination vector register; executing fifth and sixth instructions, including:

extracting data elements from even numbered locations within the four destination vector registers; and

storing the data elements extracted from the even numbered locations within the four destination vector registers in contiguous locations in a fifth destination vector register and a sixth destination vector register; and

executing seventh and eighth instructions, including:

extracting data elements from odd numbered locations within the four destination vector registers; and

storing the data elements extracted from the odd numbered locations within the four destination vector registers in contiguous locations in a seventh destination vector register and an eighth destination vector register.

16. The method of Claim 11, wherein:

the encoding for the first instruction specifies that data elements are to be extracted from even numbered locations within the first source vector register; and the method further comprises:

extracting alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register.

17. The method of Claim 11, wherein:

the encoding for the first instruction specifies that data elements are to be extracted from odd numbered locations within the first source vector register; and the method further comprises:

extracting alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register.

18. A system, comprising:

a front end to receive an instruction;

a decoder to decode the instruction;

a core to execute the instruction, including: a first source vector register to store data elements of at least two data structures, each data structure to include at least two data elements;

a first logic to extract, dependent on an encoding for the instruction: data elements from even numbered locations within the first source vector register; or

data elements from odd numbered locations within the first source vector register;

a second logic to place the data elements to be extracted from the first source vector register in contiguous locations in a destination vector; and

a third logic to store the destination vector to a destination vector register; and

a retirement unit to retire the instruction.

19. The system of Claim 18, wherein the core further includes:

a second source vector register to store data elements of at least two additional data structures, each additional data structure to include at least two data elements; a fourth logic to extract, dependent on the encoding for the instruction:

data elements from even numbered locations within the second source vector register; or

data elements from odd numbered locations within the second source vector register; and

a fifth logic to place the data elements to be extracted from the second source vector register in contiguous locations in the destination vector adjacent to the contiguous locations in the destination vector at which the data elements to be extracted from the first source vector register are to be placed.

20. The system of Claim 18, wherein:

the encoding for the instruction specifies that data elements are to be extracted from even numbered locations within the first source vector register; and

the first logic is to extract alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register.

21. The system of Claim 18, wherein:

the encoding for the instruction specifies that data elements are to be extracted from odd numbered locations within the first source vector register; and

the first logic is to extract alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register.

22. The system of Claim 18, wherein at least two of the data elements to be included in each of the two data structures to be stored in the first source vector register are data elements of different types.

23. The system of Claim 18, wherein:

the core further includes a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register;

for each of one or more bits in a mask register identified in the instruction that are set, a data element that is to be placed in the destination vector is to be stored to the destination vector register; and

for each of one or more bits in the mask register identified in the instruction that are not set, a data element that is to be placed in the destination vector and that would otherwise have been stored to the destination vector register is not to be stored to the destination vector register.

24. The system of Claim 18, further comprising:

a fourth logic to determine the size of the data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the instruction.

25. An apparatus, including means for performing any of the methods of Claims 11-17.

Description:
INSTRUCTIONS AND LOGIC FOR EVEN AND ODD VECTOR GET

OPERATIONS

FIELD OF THE INVENTION

[0001] The present disclosure pertains to the field of processing logic, microprocessors, and associated instruction set architecture that, when executed by the processor or other processing logic, perform logical, mathematical, or other functional operations. DESCRIPTION OF RELATED ART

[0002] Multiprocessor systems are becoming more and more common. Applications of multiprocessor systems include dynamic domain partitioning all the way down to desktop computing. In order to take advantage of multiprocessor systems, code to be executed may be separated into multiple threads for execution by various processing entities. Each thread may be executed in parallel with one another. Instructions as they are received on a processor may be decoded into terms or instruction words that are native, or more native, for execution on the processor. Processors may be implemented in a system on chip. Data structures that are organized in tuples of three or four elements may be used in media applications, High Performance Computing applications, and molecular dynamics applications.

DESCRIPTION OF THE FIGURES

[0003] Embodiments are illustrated by way of example and not limitation in the Figures of the accompanying drawings:

[0004] FIGURE 1A is a block diagram of an exemplary computer system formed with a processor that may include execution units to execute an instruction, in accordance with embodiments of the present disclosure;

[0005] FIGURE IB illustrates a data processing system, in accordance with embodiments of the present disclosure;

[0006] FIGURE 1C illustrates other embodiments of a data processing system for performing text string comparison operations; [0007] FIGURE 2 is a block diagram of the micro-architecture for a processor that may include logic circuits to perform instructions, in accordance with embodiments of the present disclosure;

[0008] FIGURE 3A illustrates various packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure;

[0009] FIGURE 3B illustrates possible in-register data storage formats, in accordance with embodiments of the present disclosure;

[0010] FIGURE 3C illustrates various signed and unsigned packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure;

[0011] FIGURE 3D illustrates an embodiment of an operation encoding format;

[0012] FIGURE 3E illustrates another possible operation encoding format having forty or more bits, in accordance with embodiments of the present disclosure;

[0013] FIGURE 3F illustrates yet another possible operation encoding format, in accordance with embodiments of the present disclosure;

[0014] FIGURE 4A is a block diagram illustrating an in-order pipeline and a register renaming stage, out-of-order issue/execution pipeline, in accordance with embodiments of the present disclosure;

[0015] FIGURE 4B is a block diagram illustrating an in-order architecture core and a register renaming logic, out-of-order issue/execution logic to be included in a processor, in accordance with embodiments of the present disclosure;

[0016] FIGURE 5A is a block diagram of a processor, in accordance with embodiments of the present disclosure;

[0017] FIGURE 5B is a block diagram of an example implementation of a core, in accordance with embodiments of the present disclosure;

[0018] FIGURE 6 is a block diagram of a system, in accordance with embodiments of the present disclosure;

[0019] FIGURE 7 is a block diagram of a second system, in accordance with embodiments of the present disclosure;

[0020] FIGURE 8 is a block diagram of a third system in accordance with embodiments of the present disclosure; [0021] FIGURE 9 is a block diagram of a system-on-a-chip, in accordance with embodiments of the present disclosure;

[0022] FIGURE 10 illustrates a processor containing a central processing unit and a graphics processing unit which may perform at least one instruction, in accordance with embodiments of the present disclosure;

[0023] FIGURE 11 is a block diagram illustrating the development of IP cores, in accordance with embodiments of the present disclosure;

[0024] FIGURE 12 illustrates how an instruction of a first type may be emulated by a processor of a different type, in accordance with embodiments of the present disclosure;

[0025] FIGURE 13 illustrates a block diagram contrasting the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set, in accordance with embodiments of the present disclosure;

[0026] FIGURE 14 is a block diagram of an instruction set architecture of a processor, in accordance with embodiments of the present disclosure;

[0027] FIGURE 15 is a more detailed block diagram of an instruction set architecture of a processor, in accordance with embodiments of the present disclosure;

[0028] FIGURE 16 is a block diagram of an execution pipeline for an instruction set architecture of a processor, in accordance with embodiments of the present disclosure;

[0029] FIGURE 17 is a block diagram of an electronic device for utilizing a processor, in accordance with embodiments of the present disclosure;

[0030] FIGURE 18 is an illustration of an example system for instructions and logic for even and odd vector GET operations, in accordance with embodiments of the present disclosure;

[0031] FIGURE 19 is a block diagram illustrating a processor core to execute extended vector instructions, in accordance with embodiments of the present disclosure;

[0032] FIGURE 20 is a block diagram illustrating an example extended vector register file, in accordance with embodiments of the present disclosure; [0033] FIGURE 21 is an illustration of an operation to perform an even or odd vector GET operation, according to embodiments of the present disclosure;

[0034] FIGURES 22A - 22D illustrate the operation of respective forms of VPGETEVEN and VPGETODD instructions, in accordance with embodiments of the present disclosure;

[0035] FIGURE 23 illustrates an example method for performing an even or odd vector GET operation, in accordance with embodiments of the present disclosure;

[0036] FIGURE 24 illustrates an example method for utilizing multiple even and odd vector GET operations to permute different types of data elements coming from respective different sources, according to embodiments of the present disclosure.

DETAILED DESCRIPTION

[0037] The following description describes instructions and processing logic for performing even and odd vector GET operations on a processing apparatus. Such a processing apparatus may include an out-of-order processor. In the following description, numerous specific details such as processing logic, processor types, microarchitectural conditions, events, enablement mechanisms, and the like are set forth in order to provide a more thorough understanding of embodiments of the present disclosure. It will be appreciated, however, by one skilled in the art that the embodiments may be practiced without such specific details. Additionally, some well- known structures, circuits, and the like have not been shown in detail to avoid unnecessarily obscuring embodiments of the present disclosure.

[0038] Although the following embodiments are described with reference to a processor, other embodiments are applicable to other types of integrated circuits and logic devices. Similar techniques and teachings of embodiments of the present disclosure may be applied to other types of circuits or semiconductor devices that may benefit from higher pipeline throughput and improved performance. The teachings of embodiments of the present disclosure are applicable to any processor or machine that performs data manipulations. However, the embodiments are not limited to processors or machines that perform 512-bit, 256-bit, 128-bit, 64-bit, 32-bit, or 16-bit data operations and may be applied to any processor and machine in which manipulation or management of data may be performed. In addition, the following description provides examples, and the accompanying drawings show various examples for the purposes of illustration. However, these examples should not be construed in a limiting sense as they are merely intended to provide examples of embodiments of the present disclosure rather than to provide an exhaustive list of all possible implementations of embodiments of the present disclosure.

[0039] Although the below examples describe instruction handling and distribution in the context of execution units and logic circuits, other embodiments of the present disclosure may be accomplished by way of a data or instructions stored on a machine- readable, tangible medium, which when performed by a machine cause the machine to perform functions consistent with at least one embodiment of the disclosure. In one embodiment, functions associated with embodiments of the present disclosure are embodied in machine-executable instructions. The instructions may be used to cause a general-purpose or special-purpose processor that may be programmed with the instructions to perform the steps of the present disclosure. Embodiments of the present disclosure may be provided as a computer program product or software which may include a machine or computer-readable medium having stored thereon instructions which may be used to program a computer (or other electronic devices) to perform one or more operations according to embodiments of the present disclosure. Furthermore, steps of embodiments of the present disclosure might be performed by specific hardware components that contain fixed-function logic for performing the steps, or by any combination of programmed computer components and fixed-function hardware components.

[0040] Instructions used to program logic to perform embodiments of the present disclosure may be stored within a memory in the system, such as DRAM, cache, flash memory, or other storage. Furthermore, the instructions may be distributed via a network or by way of other computer-readable media. Thus a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer), but is not limited to, floppy diskettes, optical disks, Compact Disc, Read-Only Memory (CD-ROMs), and magneto-optical disks, Read-Only Memory (ROMs), Random Access Memory (RAM), Erasable Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory (EEPROM), magnetic or optical cards, flash memory, or a tangible, machine-readable storage used in the transmission of information over the Internet via electrical, optical, acoustical or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.). Accordingly, the computer- readable medium may include any type of tangible machine-readable medium suitable for storing or transmitting electronic instructions or information in a form readable by a machine (e.g., a computer).

[0041] A design may go through various stages, from creation to simulation to fabrication. Data representing a design may represent the design in a number of manners. First, as may be useful in simulations, the hardware may be represented using a hardware description language or another functional description language. Additionally, a circuit level model with logic and/or transistor gates may be produced at some stages of the design process. Furthermore, designs, at some stage, may reach a level of data representing the physical placement of various devices in the hardware model. In cases wherein some semiconductor fabrication techniques are used, the data representing the hardware model may be the data specifying the presence or absence of various features on different mask layers for masks used to produce the integrated circuit. In any representation of the design, the data may be stored in any form of a machine-readable medium. A memory or a magnetic or optical storage such as a disc may be the machine-readable medium to store information transmitted via optical or electrical wave modulated or otherwise generated to transmit such information. When an electrical carrier wave indicating or carrying the code or design is transmitted, to the extent that copying, buffering, or retransmission of the electrical signal is performed, a new copy may be made. Thus, a communication provider or a network provider may store on a tangible, machine-readable medium, at least temporarily, an article, such as information encoded into a carrier wave, embodying techniques of embodiments of the present disclosure.

[0042] In modern processors, a number of different execution units may be used to process and execute a variety of code and instructions. Some instructions may be quicker to complete while others may take a number of clock cycles to complete. The faster the throughput of instructions, the better the overall performance of the processor. Thus it would be advantageous to have as many instructions execute as fast as possible. However, there may be certain instructions that have greater complexity and require more in terms of execution time and processor resources, such as floating point instructions, load/store operations, data moves, etc.

[0043] As more computer systems are used in internet, text, and multimedia applications, additional processor support has been introduced over time. In one embodiment, an instruction set may be associated with one or more computer architectures, including data types, instructions, register architecture, addressing modes, memory architecture, interrupt and exception handling, and external input and output (I/O).

[0044] In one embodiment, the instruction set architecture (ISA) may be implemented by one or more micro-architectures, which may include processor logic and circuits used to implement one or more instruction sets. Accordingly, processors with different micro-architectures may share at least a portion of a common instruction set. For example, Intel® Pentium 4 processors, Intel® Core™ processors, and processors from Advanced Micro Devices, Inc. of Sunnyvale CA implement nearly identical versions of the x86 instruction set (with some extensions that have been added with newer versions), but have different internal designs. Similarly, processors designed by other processor development companies, such as ARM Holdings, Ltd., MIPS, or their licensees or adopters, may share at least a portion of a common instruction set, but may include different processor designs. For example, the same register architecture of the ISA may be implemented in different ways in different micro-architectures using new or well-known techniques, including dedicated physical registers, one or more dynamically allocated physical registers using a register renaming mechanism (e.g., the use of a Register Alias Table (RAT), a Reorder Buffer (ROB) and a retirement register file. In one embodiment, registers may include one or more registers, register architectures, register files, or other register sets that may or may not be addressable by a software programmer.

[0045] An instruction may include one or more instruction formats. In one embodiment, an instruction format may indicate various fields (number of bits, location of bits, etc.) to specify, among other things, the operation to be performed and the operands on which that operation will be performed. In a further embodiment, some instruction formats may be further defined by instruction templates (or sub-formats). For example, the instruction templates of a given instruction format may be defined to have different subsets of the instruction format's fields and/or defined to have a given field interpreted differently. In one embodiment, an instruction may be expressed using an instruction format (and, if defined, in a given one of the instruction templates of that instruction format) and specifies or indicates the operation and the operands upon which the operation will operate.

[0046] Scientific, financial, auto-vectorized general purpose, RMS (recognition, mining, and synthesis), and visual and multimedia applications (e.g., 2D/3D graphics, image processing, video compression/decompression, voice recognition algorithms and audio manipulation) may require the same operation to be performed on a large number of data items. In one embodiment, Single Instruction Multiple Data (SIMD) refers to a type of instruction that causes a processor to perform an operation on multiple data elements. SIMD technology may be used in processors that may logically divide the bits in a register into a number of fixed-sized or variable-sized data elements, each of which represents a separate value. For example, in one embodiment, the bits in a 64-bit register may be organized as a source operand containing four separate 16-bit data elements, each of which represents a separate 16-bit value. This type of data may be referred to as 'packed' data type or 'vector' data type, and operands of this data type may be referred to as packed data operands or vector operands. In one embodiment, a packed data item or vector may be a sequence of packed data elements stored within a single register, and a packed data operand or a vector operand may a source or destination operand of a SFMD instruction (or 'packed data instruction' or a 'vector instruction'). In one embodiment, a SFMD instruction specifies a single vector operation to be performed on two source vector operands to generate a destination vector operand (also referred to as a result vector operand) of the same or different size, with the same or different number of data elements, and in the same or different data element order.

[0047] SFMD technology, such as that employed by the Intel® Core™ processors having an instruction set including x86, MMX™, Streaming SIMD Extensions (SSE), SSE2, SSE3, SSE4.1, and SSE4.2 instructions, ARM processors, such as the ARM Cortex® family of processors having an instruction set including the Vector Floating Point (VFP) and/or NEON instructions, and MIPS processors, such as the Loongson family of processors developed by the Institute of Computing Technology (ICT) of the Chinese Academy of Sciences, has enabled a significant improvement in application performance (Core™ and MMX™ are registered trademarks or trademarks of Intel Corporation of Santa Clara, Calif).

[0048] In one embodiment, destination and source registers/data may be generic terms to represent the source and destination of the corresponding data or operation. In some embodiments, they may be implemented by registers, memory, or other storage areas having other names or functions than those depicted. For example, in one embodiment, "DEST1" may be a temporary storage register or other storage area, whereas "SRCl" and "SRC2" may be a first and second source storage register or other storage area, and so forth. In other embodiments, two or more of the SRC and DEST storage areas may correspond to different data storage elements within the same storage area (e.g., a SIMD register). In one embodiment, one of the source registers may also act as a destination register by, for example, writing back the result of an operation performed on the first and second source data to one of the two source registers serving as a destination registers.

[0049] FIGURE 1A is a block diagram of an exemplary computer system formed with a processor that may include execution units to execute an instruction, in accordance with embodiments of the present disclosure. System 100 may include a component, such as a processor 102 to employ execution units including logic to perform algorithms for process data, in accordance with the present disclosure, such as in the embodiment described herein. System 100 may be representative of processing systems based on the PENTIUM ® III, PENTR7M ® 4, Xeon™, Itanium ® , XScale™ and/or StrongARM™ microprocessors available from Intel Corporation of Santa Clara, California, although other systems (including PCs having other microprocessors, engineering workstations, set-top boxes and the like) may also be used. In one embodiment, sample system 100 may execute a version of the WINDOWS™ operating system available from Microsoft Corporation of Redmond, Washington, although other operating systems (UNIX and Linux for example), embedded software, and/or graphical user interfaces, may also be used. Thus, embodiments of the present disclosure are not limited to any specific combination of hardware circuitry and software. [0050] Embodiments are not limited to computer systems. Embodiments of the present disclosure may be used in other devices such as handheld devices and embedded applications. Some examples of handheld devices include cellular phones, Internet Protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs. Embedded applications may include a micro controller, a digital signal processor (DSP), system on a chip, network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, or any other system that may perform one or more instructions in accordance with at least one embodiment.

[0051] Computer system 100 may include a processor 102 that may include one or more execution units 108 to perform an algorithm to perform at least one instruction in accordance with one embodiment of the present disclosure. One embodiment may be described in the context of a single processor desktop or server system, but other embodiments may be included in a multiprocessor system. System 100 may be an example of a 'hub' system architecture. System 100 may include a processor 102 for processing data signals. Processor 102 may include a complex instruction set computer (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction word (VLIW) microprocessor, a processor implementing a combination of instruction sets, or any other processor device, such as a digital signal processor, for example. In one embodiment, processor 102 may be coupled to a processor bus 110 that may transmit data signals between processor 102 and other components in system 100. The elements of system 100 may perform conventional functions that are well known to those familiar with the art.

[0052] In one embodiment, processor 102 may include a Level 1 (LI) internal cache memory 104. Depending on the architecture, the processor 102 may have a single internal cache or multiple levels of internal cache. In another embodiment, the cache memory may reside external to processor 102. Other embodiments may also include a combination of both internal and external caches depending on the particular implementation and needs. Register file 106 may store different types of data in various registers including integer registers, floating point registers, status registers, and instruction pointer register.

[0053] Execution unit 108, including logic to perform integer and floating point operations, also resides in processor 102. Processor 102 may also include a microcode (ucode) ROM that stores microcode for certain macroinstructions. In one embodiment, execution unit 108 may include logic to handle a packed instruction set 109. By including the packed instruction set 109 in the instruction set of a general-purpose processor 102, along with associated circuitry to execute the instructions, the operations used by many multimedia applications may be performed using packed data in a general-purpose processor 102. Thus, many multimedia applications may be accelerated and executed more efficiently by using the full width of a processor's data bus for performing operations on packed data. This may eliminate the need to transfer smaller units of data across the processor's data bus to perform one or more operations one data element at a time.

[0054] Embodiments of an execution unit 108 may also be used in micro controllers, embedded processors, graphics devices, DSPs, and other types of logic circuits. System 100 may include a memory 120. Memory 120 may be implemented as a dynamic random access memory (DRAM) device, a static random access memory (SRAM) device, flash memory device, or other memory device. Memory 120 may store instructions 119 and/or data 121 represented by data signals that may be executed by processor 102.

[0055] A system logic chip 116 may be coupled to processor bus 110 and memory 120. System logic chip 116 may include a memory controller hub (MCH). Processor 102 may communicate with MCH 116 via a processor bus 110. MCH 1 16 may provide a high bandwidth memory path 118 to memory 120 for storage of instructions 119 and data 121 and for storage of graphics commands, data and textures. MCH 116 may direct data signals between processor 102, memory 120, and other components in system 100 and to bridge the data signals between processor bus 110, memory 120, and system I/O 122. In some embodiments, the system logic chip 116 may provide a graphics port for coupling to a graphics controller 112. MCH 116 may be coupled to memory 120 through a memory interface 118. Graphics card 112 may be coupled to MCH 116 through an Accelerated Graphics Port (AGP) interconnect 114.

[0056] System 100 may use a proprietary hub interface bus 122 to couple MCH 116 to I/O controller hub (ICH) 130. In one embodiment, ICH 130 may provide direct connections to some I/O devices via a local I/O bus. The local I/O bus may include a high-speed I/O bus for connecting peripherals to memory 120, chipset, and processor 102. Examples may include the audio controller 129, firmware hub (flash BIOS) 128, wireless transceiver 126, data storage 124, legacy I/O controller 123 containing user input interface 125 (which may include a keyboard interface), a serial expansion port 127 such as Universal Serial Bus (USB), and a network controller 134. Data storage device 124 may comprise a hard disk drive, a floppy disk drive, a CD-ROM device, a flash memory device, or other mass storage device.

[0057] For another embodiment of a system, an instruction in accordance with one embodiment may be used with a system on a chip. One embodiment of a system on a chip comprises of a processor and a memory. The memory for one such system may include a flash memory. The flash memory may be located on the same die as the processor and other system components. Additionally, other logic blocks such as a memory controller or graphics controller may also be located on a system on a chip.

[0058] FIGURE IB illustrates a data processing system 140 which implements the principles of embodiments of the present disclosure. It will be readily appreciated by one of skill in the art that the embodiments described herein may operate with alternative processing systems without departure from the scope of embodiments of the disclosure.

[0059] Computer system 140 comprises a processing core 159 for performing at least one instruction in accordance with one embodiment. In one embodiment, processing core 159 represents a processing unit of any type of architecture, including but not limited to a CISC, a RISC or a VLIW type architecture. Processing core 159 may also be suitable for manufacture in one or more process technologies and by being represented on a machine-readable media in sufficient detail, may be suitable to facilitate said manufacture.

[0060] Processing core 159 comprises an execution unit 142, a set of register files 145, and a decoder 144. Processing core 159 may also include additional circuitry (not shown) which may be unnecessary to the understanding of embodiments of the present disclosure. Execution unit 142 may execute instructions received by processing core 159. In addition to performing typical processor instructions, execution unit 142 may perform instructions in packed instruction set 143 for performing operations on packed data formats. Packed instruction set 143 may include instructions for performing embodiments of the disclosure and other packed instructions. Execution unit 142 may be coupled to register file 145 by an internal bus. Register file 145 may represent a storage area on processing core 159 for storing information, including data. As previously mentioned, it is understood that the storage area may store the packed data might not be critical. Execution unit 142 may be coupled to decoder 144. Decoder 144 may decode instructions received by processing core 159 into control signals and/or microcode entry points. In response to these control signals and/or microcode entry points, execution unit 142 performs the appropriate operations. In one embodiment, the decoder may interpret the opcode of the instruction, which will indicate what operation should be performed on the corresponding data indicated within the instruction.

[0061] Processing core 159 may be coupled with bus 141 for communicating with various other system devices, which may include but are not limited to, for example, synchronous dynamic random access memory (SDRAM) control 146, static random access memory (SRAM) control 147, burst flash memory interface 148, personal computer memory card international association (PCMCIA)/compact flash (CF) card control 149, liquid crystal display (LCD) control 150, direct memory access (DMA) controller 151, and alternative bus master interface 152. In one embodiment, data processing system 140 may also comprise an I/O bridge 154 for communicating with various I/O devices via an I/O bus 153. Such I/O devices may include but are not limited to, for example, universal asynchronous receiver/transmitter (UART) 155, universal serial bus (USB) 156, Bluetooth wireless UART 157 and I/O expansion interface 158.

[0062] One embodiment of data processing system 140 provides for mobile, network and/or wireless communications and a processing core 159 that may perform SFMD operations including a text string comparison operation. Processing core 159 may be programmed with various audio, video, imaging and communications algorithms including discrete transformations such as a Walsh-Hadamard transform, a fast Fourier transform (FFT), a discrete cosine transform (DCT), and their respective inverse transforms; compression/decompression techniques such as color space transformation, video encode motion estimation or video decode motion compensation; and modulation/demodulation (MODEM) functions such as pulse coded modulation (PCM). [0063] FIGURE 1C illustrates other embodiments of a data processing system that performs SIMD text string comparison operations. In one embodiment, data processing system 160 may include a main processor 166, a SIMD coprocessor 161, a cache memory 167, and an input/output system 168. Input/output system 168 may optionally be coupled to a wireless interface 169. SIMD coprocessor 161 may perform operations including instructions in accordance with one embodiment. In one embodiment, processing core 170 may be suitable for manufacture in one or more process technologies and by being represented on a machine-readable media in sufficient detail, may be suitable to facilitate the manufacture of all or part of data processing system 160 including processing core 170.

[0064] In one embodiment, SIMD coprocessor 161 comprises an execution unit 162 and a set of register files 164. One embodiment of main processor 166 comprises a decoder 165 to recognize instructions of instruction set 163 including instructions in accordance with one embodiment for execution by execution unit 162. In other embodiments, SIMD coprocessor 161 also comprises at least part of decoder 165 (shown as 165B) to decode instructions of instruction set 163. Processing core 170 may also include additional circuitry (not shown) which may be unnecessary to the understanding of embodiments of the present disclosure.

[0065] In operation, main processor 166 executes a stream of data processing instructions that control data processing operations of a general type including interactions with cache memory 167, and input/output system 168. Embedded within the stream of data processing instructions may be SIMD coprocessor instructions. Decoder 165 of main processor 166 recognizes these SIMD coprocessor instructions as being of a type that should be executed by an attached SIMD coprocessor 161. Accordingly, main processor 166 issues these SIMD coprocessor instructions (or control signals representing SIMD coprocessor instructions) on the coprocessor bus 166. From coprocessor bus 171, these instructions may be received by any attached SIMD coprocessors. In this case, SIMD coprocessor 161 may accept and execute any received SFMD coprocessor instructions intended for it.

[0066] Data may be received via wireless interface 169 for processing by the SFMD coprocessor instructions. For one example, voice communication may be received in the form of a digital signal, which may be processed by the SFMD coprocessor instructions to regenerate digital audio samples representative of the voice communications. For another example, compressed audio and/or video may be received in the form of a digital bit stream, which may be processed by the SIMD coprocessor instructions to regenerate digital audio samples and/or motion video frames. In one embodiment of processing core 170, main processor 166, and a SIMD coprocessor 161 may be integrated into a single processing core 170 comprising an execution unit 162, a set of register files 164, and a decoder 165 to recognize instructions of instruction set 163 including instructions in accordance with one embodiment.

[0067] FIGURE 2 is a block diagram of the micro-architecture for a processor 200 that may include logic circuits to perform instructions, in accordance with embodiments of the present disclosure. In some embodiments, an instruction in accordance with one embodiment may be implemented to operate on data elements having sizes of byte, word, doubleword, quadword, etc., as well as datatypes, such as single and double precision integer and floating point datatypes. In one embodiment, in-order front end 201 may implement a part of processor 200 that may fetch instructions to be executed and prepares the instructions to be used later in the processor pipeline. Front end 201 may include several units. In one embodiment, instruction prefetcher 226 fetches instructions from memory and feeds the instructions to an instruction decoder 228 which in turn decodes or interprets the instructions. For example, in one embodiment, the decoder decodes a received instruction into one or more operations called "microinstructions" or "micro-operations" (also called micro op or uops) that the machine may execute. In other embodiments, the decoder parses the instruction into an opcode and corresponding data and control fields that may be used by the micro-architecture to perform operations in accordance with one embodiment. In one embodiment, trace cache 230 may assemble decoded uops into program ordered sequences or traces in uop queue 234 for execution. When trace cache 230 encounters a complex instruction, microcode ROM 232 provides the uops needed to complete the operation.

[0068] Some instructions may be converted into a single micro-op, whereas others need several micro-ops to complete the full operation. In one embodiment, if more than four micro-ops are needed to complete an instruction, decoder 228 may access microcode ROM 232 to perform the instruction. In one embodiment, an instruction may be decoded into a small number of micro ops for processing at instruction decoder 228. In another embodiment, an instruction may be stored within microcode ROM 232 should a number of micro-ops be needed to accomplish the operation. Trace cache 230 refers to an entry point programmable logic array (PLA) to determine a correct micro- instruction pointer for reading the micro-code sequences to complete one or more instructions in accordance with one embodiment from micro-code ROM 232. After microcode ROM 232 finishes sequencing micro-ops for an instruction, front end 201 of the machine may resume fetching micro-ops from trace cache 230.

[0069] Out-of-order execution engine 203 may prepare instructions for execution. The out-of-order execution logic has a number of buffers to smooth out and re-order the flow of instructions to optimize performance as they go down the pipeline and get scheduled for execution. The allocator logic in allocator/register renamer 215 allocates the machine buffers and resources that each uop needs in order to execute. The register renaming logic in allocator/register renamer 215 renames logic registers onto entries in a register file. The allocator 215 also allocates an entry for each uop in one of the two uop queues, one for memory operations (memory uop queue 207) and one for non- memory operations (integer/floating point uop queue 205), in front of the instruction schedulers: memory scheduler 209, fast scheduler 202, slow/general floating point scheduler 204, and simple floating point scheduler 206. Uop schedulers 202, 204, 206, determine when a uop is ready to execute based on the readiness of their dependent input register operand sources and the availability of the execution resources the uops need to complete their operation. Fast scheduler 202 of one embodiment may schedule on each half of the main clock cycle while the other schedulers may only schedule once per main processor clock cycle. The schedulers arbitrate for the dispatch ports to schedule uops for execution.

[0070] Register files 208, 210 may be arranged between schedulers 202, 204, 206, and execution units 212, 214, 216, 218, 220, 222, 224 in execution block 211. Each of register files 208, 210 perform integer and floating point operations, respectively. Each register file 208, 210, may include a bypass network that may bypass or forward just completed results that have not yet been written into the register file to new dependent uops. Integer register file 208 and floating point register file 210 may communicate data with the other. In one embodiment, integer register file 208 may be split into two separate register files, one register file for low-order thirty-two bits of data and a second register file for high order thirty-two bits of data. Floating point register file 210 may include 128-bit wide entries because floating point instructions typically have operands from 64 to 128 bits in width.

[0071] Execution block 211 may contain execution units 212, 214, 216, 218, 220, 222, 224. Execution units 212, 214, 216, 218, 220, 222, 224 may execute the instructions. Execution block 211 may include register files 208, 210 that store the integer and floating point data operand values that the micro-instructions need to execute. In one embodiment, processor 200 may comprise a number of execution units: address generation unit (AGU) 212, AGU 214, fast ALU 216, fast ALU 218, slow ALU 220, floating point ALU 222, floating point move unit 224. In another embodiment, floating point execution blocks 222, 224, may execute floating point, MMX, SIMD, and SSE, or other operations. In yet another embodiment, floating point ALU 222 may include a 64-bit by 64-bit floating point divider to execute divide, square root, and remainder micro-ops. In various embodiments, instructions involving a floating point value may be handled with the floating point hardware. In one embodiment, ALU operations may be passed to high-speed ALU execution units 216, 218. High-speed ALUs 216, 218 may execute fast operations with an effective latency of half a clock cycle. In one embodiment, most complex integer operations go to slow ALU 220 as slow ALU 220 may include integer execution hardware for long-latency type of operations, such as a multiplier, shifts, flag logic, and branch processing. Memory load/store operations may be executed by AGUs 212, 214. In one embodiment, integer ALUs 216, 218, 220 may perform integer operations on 64-bit data operands. In other embodiments, ALUs 216, 218, 220 may be implemented to support a variety of data bit sizes including sixteen, thirty-two, 128, 256, etc. Similarly, floating point units 222, 224 may be implemented to support a range of operands having bits of various widths. In one embodiment, floating point units 222, 224, may operate on 128-bit wide packed data operands in conjunction with SIMD and multimedia instructions.

[0072] In one embodiment, uops schedulers 202, 204, 206, dispatch dependent operations before the parent load has finished executing. As uops may be speculatively scheduled and executed in processor 200, processor 200 may also include logic to handle memory misses. If a data load misses in the data cache, there may be dependent operations in flight in the pipeline that have left the scheduler with temporarily incorrect data. A replay mechanism tracks and re-executes instructions that use incorrect data. Only the dependent operations might need to be replayed and the independent ones may be allowed to complete. The schedulers and replay mechanism of one embodiment of a processor may also be designed to catch instruction sequences for text string comparison operations.

[0073] The term "registers" may refer to the on-board processor storage locations that may be used as part of instructions to identify operands. In other words, registers may be those that may be usable from the outside of the processor (from a programmer's perspective). However, in some embodiments registers might not be limited to a particular type of circuit. Rather, a register may store data, provide data, and perform the functions described herein. The registers described herein may be implemented by circuitry within a processor using any number of different techniques, such as dedicated physical registers, dynamically allocated physical registers using register renaming, combinations of dedicated and dynamically allocated physical registers, etc. In one embodiment, integer registers store 32-bit integer data. A register file of one embodiment also contains eight multimedia SIMD registers for packed data. For the discussions below, the registers may be understood to be data registers designed to hold packed data, such as 64-bit wide MMX™ registers (also referred to as 'mm' registers in some instances) in microprocessors enabled with MMX technology from Intel Corporation of Santa Clara, California. These MMX registers, available in both integer and floating point forms, may operate with packed data elements that accompany SIMD and SSE instructions. Similarly, 128-bit wide XMM registers relating to SSE2, SSE3, SSE4, or beyond (referred to generically as "SSEx") technology may hold such packed data operands. In one embodiment, in storing packed data and integer data, the registers do not need to differentiate between the two data types. In one embodiment, integer and floating point data may be contained in the same register file or different register files. Furthermore, in one embodiment, floating point and integer data may be stored in different registers or the same registers.

[0074] In the examples of the following figures, a number of data operands may be described. FIGURE 3A illustrates various packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure. FIGURE 3 A illustrates data types for a packed byte 310, a packed word 320, and a packed doubleword (dword) 330 for 128-bit wide operands. Packed byte format 310 of this example may be 128 bits long and contains sixteen packed byte data elements. A byte may be defined, for example, as eight bits of data. Information for each byte data element may be stored in bit 7 through bit 0 for byte 0, bit 15 through bit 8 for byte 1, bit 23 through bit 16 for byte 2, and finally bit 120 through bit 127 for byte 15. Thus, all available bits may be used in the register. This storage arrangement increases the storage efficiency of the processor. As well, with sixteen data elements accessed, one operation may now be performed on sixteen data elements in parallel.

[0075] Generally, a data element may include an individual piece of data that is stored in a single register or memory location with other data elements of the same length. In packed data sequences relating to SSEx technology, the number of data elements stored in a XMM register may be 128 bits divided by the length in bits of an individual data element. Similarly, in packed data sequences relating to MMX and SSE technology, the number of data elements stored in an MMX register may be 64 bits divided by the length in bits of an individual data element. Although the data types illustrated in FIGURE 3A may be 128 bits long, embodiments of the present disclosure may also operate with 64-bit wide or other sized operands. Packed word format 320 of this example may be 128 bits long and contains eight packed word data elements. Each packed word contains sixteen bits of information. Packed doubleword format 330 of FIGURE 3A may be 128 bits long and contains four packed doubleword data elements. Each packed doubleword data element contains thirty-two bits of information. A packed quadword may be 128 bits long and contain two packed quad-word data elements.

[0076] FIGURE 3B illustrates possible in-register data storage formats, in accordance with embodiments of the present disclosure. Each packed data may include more than one independent data element. Three packed data formats are illustrated; packed half 341, packed single 342, and packed double 343. One embodiment of packed half 341, packed single 342, and packed double 343 contain fixed-point data elements. For another embodiment one or more of packed half 341, packed single 342, and packed double 343 may contain floating-point data elements. One embodiment of packed half 341 may be 128 bits long containing eight 16-bit data elements. One embodiment of packed single 342 may be 128 bits long and contains four 32-bit data elements. One embodiment of packed double 343 may be 128 bits long and contains two 64-bit data elements. It will be appreciated that such packed data formats may be further extended to other register lengths, for example, to 96-bits, 160-bits, 192-bits, 224-bits, 256-bits or more.

[0077] FIGURE 3C illustrates various signed and unsigned packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure. Unsigned packed byte representation 344 illustrates the storage of an unsigned packed byte in a SIMD register. Information for each byte data element may be stored in bit 7 through bit 0 for byte 0, bit 15 through bit 8 for byte 1, bit 23 through bit 16 for byte 2, and finally bit 120 through bit 127 for byte 15. Thus, all available bits may be used in the register. This storage arrangement may increase the storage efficiency of the processor. As well, with sixteen data elements accessed, one operation may now be performed on sixteen data elements in a parallel fashion. Signed packed byte representation 345 illustrates the storage of a signed packed byte. Note that the eighth bit of every byte data element may be the sign indicator. Unsigned packed word representation 346 illustrates how word seven through word zero may be stored in a SIMD register. Signed packed word representation 347 may be similar to the unsigned packed word in-register representation 346. Note that the sixteenth bit of each word data element may be the sign indicator. Unsigned packed doubleword representation 348 shows how doubleword data elements are stored. Signed packed doubleword representation 349 may be similar to unsigned packed doubleword in- register representation 348. Note that the necessary sign bit may be the thirty-second bit of each doubleword data element.

[0078] FIGURE 3D illustrates an embodiment of an operation encoding (opcode). Furthermore, format 360 may include register/memory operand addressing modes corresponding with a type of opcode format described in the "IA-32 Intel Architecture Software Developer's Manual Volume 2: Instruction Set Reference," which is available from Intel Corporation, Santa Clara, CA on the world-wide-web (www) at intel.com/design/litcentr. In one embodiment, an instruction may be encoded by one or more of fields 361 and 362. Up to two operand locations per instruction may be identified, including up to two source operand identifiers 364 and 365. In one embodiment, destination operand identifier 366 may be the same as source operand identifier 364, whereas in other embodiments they may be different. In another embodiment, destination operand identifier 366 may be the same as source operand identifier 365, whereas in other embodiments they may be different. In one embodiment, one of the source operands identified by source operand identifiers 364 and 365 may be overwritten by the results of the text string comparison operations, whereas in other embodiments identifier 364 corresponds to a source register element and identifier 365 corresponds to a destination register element. In one embodiment, operand identifiers 364 and 365 may identify 32-bit or 64-bit source and destination operands.

[0079] FIGURE 3E illustrates another possible operation encoding (opcode) format 370, having forty or more bits, in accordance with embodiments of the present disclosure. Opcode format 370 corresponds with opcode format 360 and comprises an optional prefix byte 378. An instruction according to one embodiment may be encoded by one or more of fields 378, 371, and 372. Up to two operand locations per instruction may be identified by source operand identifiers 374 and 375 and by prefix byte 378. In one embodiment, prefix byte 378 may be used to identify 32-bit or 64-bit source and destination operands. In one embodiment, destination operand identifier 376 may be the same as source operand identifier 374, whereas in other embodiments they may be different. For another embodiment, destination operand identifier 376 may be the same as source operand identifier 375, whereas in other embodiments they may be different. In one embodiment, an instruction operates on one or more of the operands identified by operand identifiers 374 and 375 and one or more operands identified by operand identifiers 374 and 375 may be overwritten by the results of the instruction, whereas in other embodiments, operands identified by identifiers 374 and 375 may be written to another data element in another register. Opcode formats 360 and 370 allow register to register, memory to register, register by memory, register by register, register by immediate, register to memory addressing specified in part by MOD fields 363 and 373 and by optional scale-index-base and displacement bytes.

[0080] FIGURE 3F illustrates yet another possible operation encoding (opcode) format, in accordance with embodiments of the present disclosure. 64-bit single instruction multiple data (SIMD) arithmetic operations may be performed through a coprocessor data processing (CDP) instruction. Operation encoding (opcode) format 380 depicts one such CDP instruction having CDP opcode fields 382 and 389. The type of CDP instruction, for another embodiment, operations may be encoded by one or more of fields 383, 384, 387, and 388. Up to three operand locations per instruction may be identified, including up to two source operand identifiers 385 and 390 and one destination operand identifier 386. One embodiment of the coprocessor may operate on eight, sixteen, thirty-two, and 64-bit values. In one embodiment, an instruction may be performed on integer data elements. In some embodiments, an instruction may be executed conditionally, using condition field 381. For some embodiments, source data sizes may be encoded by field 383. In some embodiments, Zero (Z), negative (N), carry (C), and overflow (V) detection may be done on SIMD fields. For some instructions, the type of saturation may be encoded by field 384.

[0081] FIGURE 4A is a block diagram illustrating an in-order pipeline and a register renaming stage, out-of-order issue/execution pipeline, in accordance with embodiments of the present disclosure. FIGURE 4B is a block diagram illustrating an in-order architecture core and a register renaming logic, out-of-order issue/execution logic to be included in a processor, in accordance with embodiments of the present disclosure. The solid lined boxes in FIGURE 4A illustrate the in-order pipeline, while the dashed lined boxes illustrates the register renaming, out-of-order issue/execution pipeline. Similarly, the solid lined boxes in FIGURE 4B illustrate the in-order architecture logic, while the dashed lined boxes illustrates the register renaming logic and out-of-order issue/execution logic.

[0082] In FIGURE 4A, a processor pipeline 400 may include a fetch stage 402, a length decode stage 404, a decode stage 406, an allocation stage 408, a renaming stage 410, a scheduling (also known as a dispatch or issue) stage 412, a register read/memory read stage 414, an execute stage 416, a write-back/mem ory -write stage 418, an exception handling stage 422, and a commit stage 424.

[0083] In FIGURE 4B, arrows denote a coupling between two or more units and the direction of the arrow indicates a direction of data flow between those units. FIGURE 4B shows processor core 490 including a front end unit 430 coupled to an execution engine unit 450, and both may be coupled to a memory unit 470. [0084] Core 490 may be a reduced instruction set computing (RISC) core, a complex instruction set computing (CISC) core, a very long instruction word (VLIW) core, or a hybrid or alternative core type. In one embodiment, core 490 may be a special-purpose core, such as, for example, a network or communication core, compression engine, graphics core, or the like.

[0085] Front end unit 430 may include a branch prediction unit 432 coupled to an instruction cache unit 434. Instruction cache unit 434 may be coupled to an instruction translation lookaside buffer (TLB) 436. TLB 436 may be coupled to an instruction fetch unit 438, which is coupled to a decode unit 440. Decode unit 440 may decode instructions, and generate as an output one or more micro-operations, micro-code entry points, microinstructions, other instructions, or other control signals, which may be decoded from, or which otherwise reflect, or may be derived from, the original instructions. The decoder may be implemented using various different mechanisms. Examples of suitable mechanisms include, but are not limited to, look-up tables, hardware implementations, programmable logic arrays (PLAs), microcode read-only memories (ROMs), etc. In one embodiment, instruction cache unit 434 may be further coupled to a level 2 (L2) cache unit 476 in memory unit 470. Decode unit 440 may be coupled to a rename/allocator unit 452 in execution engine unit 450.

[0086] Execution engine unit 450 may include rename/allocator unit 452 coupled to a retirement unit 454 and a set of one or more scheduler units 456. Scheduler units 456 represent any number of different schedulers, including reservations stations, central instruction window, etc. Scheduler units 456 may be coupled to physical register file units 458. Each of physical register file units 458 represents one or more physical register files, different ones of which store one or more different data types, such as scalar integer, scalar floating point, packed integer, packed floating point, vector integer, vector floating point, etc., status (e.g., an instruction pointer that is the address of the next instruction to be executed), etc. Physical register file units 458 may be overlapped by retirement unit 454 to illustrate various ways in which register renaming and out-of-order execution may be implemented (e.g., using one or more reorder buffers and one or more retirement register files, using one or more future files, one or more history buffers, and one or more retirement register files; using register maps and a pool of registers; etc.). Generally, the architectural registers may be visible from the outside of the processor or from a programmer's perspective. The registers might not be limited to any known particular type of circuit. Various different types of registers may be suitable as long as they store and provide data as described herein. Examples of suitable registers include, but might not be limited to, dedicated physical registers, dynamically allocated physical registers using register renaming, combinations of dedicated and dynamically allocated physical registers, etc. Retirement unit 454 and physical register file units 458 may be coupled to execution clusters 460. Execution clusters 460 may include a set of one or more execution units 462 and a set of one or more memory access units 464. Execution units 462 may perform various operations (e.g., shifts, addition, subtraction, multiplication) and on various types of data (e.g., scalar floating point, packed integer, packed floating point, vector integer, vector floating point). While some embodiments may include a number of execution units dedicated to specific functions or sets of functions, other embodiments may include only one execution unit or multiple execution units that all perform all functions. Scheduler units 456, physical register file units 458, and execution clusters 460 are shown as being possibly plural because certain embodiments create separate pipelines for certain types of data/operations (e.g., a scalar integer pipeline, a scalar floating point/packed integer/packed floating point/vector integer/vector floating point pipeline, and/or a memory access pipeline that each have their own scheduler unit, physical register file unit, and/or execution cluster - and in the case of a separate memory access pipeline, certain embodiments may be implemented in which only the execution cluster of this pipeline has memory access units 464). It should also be understood that where separate pipelines are used, one or more of these pipelines may be out-of-order issue/execution and the rest in-order.

[0087] The set of memory access units 464 may be coupled to memory unit 470, which may include a data TLB unit 472 coupled to a data cache unit 474 coupled to a level 2 (L2) cache unit 476. In one exemplary embodiment, memory access units 464 may include a load unit, a store address unit, and a store data unit, each of which may be coupled to data TLB unit 472 in memory unit 470. L2 cache unit 476 may be coupled to one or more other levels of cache and eventually to a main memory.

[0088] By way of example, the exemplary register renaming, out-of-order issue/execution core architecture may implement pipeline 400 as follows: 1) instruction fetch 438 may perform fetch and length decoding stages 402 and 404; 2) decode unit 440 may perform decode stage 406; 3) rename/allocator unit 452 may perform allocation stage 408 and renaming stage 410; 4) scheduler units 456 may perform schedule stage 412; 5) physical register file units 458 and memory unit 470 may perform register read/memory read stage 414; execution cluster 460 may perform execute stage 416; 6) memory unit 470 and physical register file units 458 may perform write-back/memory -write stage 418; 7) various units may be involved in the performance of exception handling stage 422; and 8) retirement unit 454 and physical register file units 458 may perform commit stage 424.

[0089] Core 490 may support one or more instructions sets (e.g., the x86 instruction set (with some extensions that have been added with newer versions); the MIPS instruction set of MIPS Technologies of Sunnyvale, CA; the ARM instruction set (with optional additional extensions such as NEON) of ARM Holdings of Sunnyvale, CA).

[0090] It should be understood that the core may support multithreading (executing two or more parallel sets of operations or threads) in a variety of manners. Multithreading support may be performed by, for example, including time sliced multithreading, simultaneous multithreading (where a single physical core provides a logical core for each of the threads that physical core is simultaneously multithreading), or a combination thereof. Such a combination may include, for example, time sliced fetching and decoding and simultaneous multithreading thereafter such as in the Intel® Hyperthreading technology.

[0091] While register renaming may be described in the context of out-of-order execution, it should be understood that register renaming may be used in an in-order architecture. While the illustrated embodiment of the processor may also include a separate instruction and data cache units 434/474 and a shared L2 cache unit 476, other embodiments may have a single internal cache for both instructions and data, such as, for example, a Level 1 (LI) internal cache, or multiple levels of internal cache. In some embodiments, the system may include a combination of an internal cache and an external cache that may be external to the core and/or the processor. In other embodiments, all of the caches may be external to the core and/or the processor.

[0092] FIGURE 5A is a block diagram of a processor 500, in accordance with embodiments of the present disclosure. In one embodiment, processor 500 may include a multicore processor. Processor 500 may include a system agent 510 communicatively coupled to one or more cores 502. Furthermore, cores 502 and system agent 510 may be communicatively coupled to one or more caches 506. Cores 502, system agent 510, and caches 506 may be communicatively coupled via one or more memory control units 552. Furthermore, cores 502, system agent 510, and caches 506 may be communicatively coupled to a graphics module 560 via memory control units 552.

[0093] Processor 500 may include any suitable mechanism for interconnecting cores 502, system agent 510, and caches 506, and graphics module 560. In one embodiment, processor 500 may include a ring-based interconnect unit 508 to interconnect cores 502, system agent 510, and caches 506, and graphics module 560. In other embodiments, processor 500 may include any number of well-known techniques for interconnecting such units. Ring-based interconnect unit 508 may utilize memory control units 552 to facilitate interconnections.

[0094] Processor 500 may include a memory hierarchy comprising one or more levels of caches within the cores, one or more shared cache units such as caches 506, or external memory (not shown) coupled to the set of integrated memory controller units 552. Caches 506 may include any suitable cache. In one embodiment, caches 506 may include one or more mid-level caches, such as level 2 (L2), level 3 (L3), level 4 (L4), or other levels of cache, a last level cache (LLC), and/or combinations thereof.

[0095] In various embodiments, one or more of cores 502 may perform multithreading. System agent 510 may include components for coordinating and operating cores 502. System agent unit 510 may include for example a power control unit (PCU). The PCU may be or include logic and components needed for regulating the power state of cores 502. System agent 510 may include a display engine 512 for driving one or more externally connected displays or graphics module 560. System agent 510 may include an interface 514 for communications busses for graphics. In one embodiment, interface 514 may be implemented by PCI Express (PCIe). In a further embodiment, interface 514 may be implemented by PCI Express Graphics (PEG). System agent 510 may include a direct media interface (DMI) 516. DMI 516 may provide links between different bridges on a motherboard or other portion of a computer system. System agent 510 may include a PCIe bridge 518 for providing PCIe links to other elements of a computing system. PCIe bridge 518 may be implemented using a memory controller 520 and coherence logic 522.

[0096] Cores 502 may be implemented in any suitable manner. Cores 502 may be homogenous or heterogeneous in terms of architecture and/or instruction set. In one embodiment, some of cores 502 may be in-order while others may be out-of-order. In another embodiment, two or more of cores 502 may execute the same instruction set, while others may execute only a subset of that instruction set or a different instruction set.

[0097] Processor 500 may include a general-purpose processor, such as a Core™ i3, i5, i7, 2 Duo and Quad, Xeon™, Itanium™, XScale™ or StrongARM™ processor, which may be available from Intel Corporation, of Santa Clara, Calif. Processor 500 may be provided from another company, such as ARM Holdings, Ltd, MIPS, etc. Processor 500 may be a special-purpose processor, such as, for example, a network or communication processor, compression engine, graphics processor, co-processor, embedded processor, or the like. Processor 500 may be implemented on one or more chips. Processor 500 may be a part of and/or may be implemented on one or more substrates using any of a number of process technologies, such as, for example, BiCMOS, CMOS, or MOS.

[0098] In one embodiment, a given one of caches 506 may be shared by multiple ones of cores 502. In another embodiment, a given one of caches 506 may be dedicated to one of cores 502. The assignment of caches 506 to cores 502 may be handled by a cache controller or other suitable mechanism. A given one of caches 506 may be shared by two or more cores 502 by implementing time-slices of a given cache 506.

[0099] Graphics module 560 may implement an integrated graphics processing subsystem. In one embodiment, graphics module 560 may include a graphics processor. Furthermore, graphics module 560 may include a media engine 565. Media engine 565 may provide media encoding and video decoding.

[00100] FIGURE 5B is a block diagram of an example implementation of a core 502, in accordance with embodiments of the present disclosure. Core 502 may include a front end 570 communicatively coupled to an out-of-order engine 580. Core 502 may be communicatively coupled to other portions of processor 500 through cache hierarchy 503. [00101] Front end 570 may be implemented in any suitable manner, such as fully or in part by front end 201 as described above. In one embodiment, front end 570 may communicate with other portions of processor 500 through cache hierarchy 503. In a further embodiment, front end 570 may fetch instructions from portions of processor 500 and prepare the instructions to be used later in the processor pipeline as they are passed to out-of-order execution engine 580.

[00102] Out-of-order execution engine 580 may be implemented in any suitable manner, such as fully or in part by out-of-order execution engine 203 as described above. Out-of-order execution engine 580 may prepare instructions received from front end 570 for execution. Out-of-order execution engine 580 may include an allocate module 582. In one embodiment, allocate module 582 may allocate resources of processor 500 or other resources, such as registers or buffers, to execute a given instruction. Allocate module 582 may make allocations in schedulers, such as a memory scheduler, fast scheduler, or floating point scheduler. Such schedulers may be represented in FIGURE 5B by resource schedulers 584. Allocate module 582 may be implemented fully or in part by the allocation logic described in conjunction with FIGURE 2. Resource schedulers 584 may determine when an instruction is ready to execute based on the readiness of a given resource's sources and the availability of execution resources needed to execute an instruction. Resource schedulers 584 may be implemented by, for example, schedulers 202, 204, 206 as discussed above. Resource schedulers 584 may schedule the execution of instructions upon one or more resources. In one embodiment, such resources may be internal to core 502, and may be illustrated, for example, as resources 586. In another embodiment, such resources may be external to core 502 and may be accessible by, for example, cache hierarchy 503. Resources may include, for example, memory, caches, register files, or registers. Resources internal to core 502 may be represented by resources 586 in FIGURE 5B. As necessary, values written to or read from resources 586 may be coordinated with other portions of processor 500 through, for example, cache hierarchy 503. As instructions are assigned resources, they may be placed into a reorder buffer 588. Reorder buffer 588 may track instructions as they are executed and may selectively reorder their execution based upon any suitable criteria of processor 500. In one embodiment, reorder buffer 588 may identify instructions or a series of instructions that may be executed independently. Such instructions or a series of instructions may be executed in parallel from other such instructions. Parallel execution in core 502 may be performed by any suitable number of separate execution blocks or virtual processors. In one embodiment, shared resources— such as memory, registers, and caches— may be accessible to multiple virtual processors within a given core 502. In other embodiments, shared resources may be accessible to multiple processing entities within processor 500.

[00103] Cache hierarchy 503 may be implemented in any suitable manner. For example, cache hierarchy 503 may include one or more lower or mid-level caches, such as caches 572, 574. In one embodiment, cache hierarchy 503 may include an LLC 595 communicatively coupled to caches 572, 574. In another embodiment, LLC 595 may be implemented in a module 590 accessible to all processing entities of processor 500. In a further embodiment, module 590 may be implemented in an uncore module of processors from Intel, Inc. Module 590 may include portions or subsystems of processor 500 necessary for the execution of core 502 but might not be implemented within core 502. Besides LLC 595, Module 590 may include, for example, hardware interfaces, memory coherency coordinators, interprocessor interconnects, instruction pipelines, or memory controllers. Access to RAM 599 available to processor 500 may be made through module 590 and, more specifically, LLC 595. Furthermore, other instances of core 502 may similarly access module 590. Coordination of the instances of core 502 may be facilitated in part through module 590.

[00104] FIGURES 6-8 may illustrate exemplary systems suitable for including processor 500, while FIGURE 9 may illustrate an exemplary system on a chip (SoC) that may include one or more of cores 502. Other system designs and implementations known in the arts for laptops, desktops, handheld PCs, personal digital assistants, engineering workstations, servers, network devices, network hubs, switches, embedded processors, digital signal processors (DSPs), graphics devices, video game devices, set- top boxes, micro controllers, cell phones, portable media players, hand held devices, and various other electronic devices, may also be suitable. In general, a huge variety of systems or electronic devices that incorporate a processor and/or other execution logic as disclosed herein may be generally suitable. [00105] FIGURE 6 illustrates a block diagram of a system 600, in accordance with embodiments of the present disclosure. System 600 may include one or more processors 610, 615, which may be coupled to graphics memory controller hub (GMCH) 620. The optional nature of additional processors 615 is denoted in FIGURE 6 with broken lines.

[00106] Each processor 610,615 may be some version of processor 500. However, it should be noted that integrated graphics logic and integrated memory control units might not exist in processors 610,615. FIGURE 6 illustrates that GMCH 620 may be coupled to a memory 640 that may be, for example, a dynamic random access memory (DRAM). The DRAM may, for at least one embodiment, be associated with a nonvolatile cache.

[00107] GMCH 620 may be a chipset, or a portion of a chipset. GMCH 620 may communicate with processors 610, 615 and control interaction between processors 610, 615 and memory 640. GMCH 620 may also act as an accelerated bus interface between the processors 610, 615 and other elements of system 600. In one embodiment, GMCH 620 communicates with processors 610, 615 via a multi-drop bus, such as a frontside bus (FSB) 695.

[00108] Furthermore, GMCH 620 may be coupled to a display 645 (such as a flat panel display). In one embodiment, GMCH 620 may include an integrated graphics accelerator. GMCH 620 may be further coupled to an input/output (I/O) controller hub (ICH) 650, which may be used to couple various peripheral devices to system 600. External graphics device 660 may include a discrete graphics device coupled to ICH 650 along with another peripheral device 670.

[00109] In other embodiments, additional or different processors may also be present in system 600. For example, additional processors 610, 615 may include additional processors that may be the same as processor 610, additional processors that may be heterogeneous or asymmetric to processor 610, accelerators (such as, e.g., graphics accelerators or digital signal processing (DSP) units), field programmable gate arrays, or any other processor. There may be a variety of differences between the physical resources 610, 615 in terms of a spectrum of metrics of merit including architectural, micro-architectural, thermal, power consumption characteristics, and the like. These differences may effectively manifest themselves as asymmetry and heterogeneity amongst processors 610, 615. For at least one embodiment, various processors 610, 615 may reside in the same die package.

[00110] FIGURE 7 illustrates a block diagram of a second system 700, in accordance with embodiments of the present disclosure. As shown in FIGURE 7, multiprocessor system 700 may include a point-to-point interconnect system, and may include a first processor 770 and a second processor 780 coupled via a point-to-point interconnect 750. Each of processors 770 and 780 may be some version of processor 500 as one or more of processors 610,615.

[00111] While FIGURE 7 may illustrate two processors 770, 780, it is to be understood that the scope of the present disclosure is not so limited. In other embodiments, one or more additional processors may be present in a given processor.

[00112] Processors 770 and 780 are shown including integrated memory controller units 772 and 782, respectively. Processor 770 may also include as part of its bus controller units point-to-point (P-P) interfaces 776 and 778; similarly, second processor 780 may include P-P interfaces 786 and 788. Processors 770, 780 may exchange information via a point-to-point (P-P) interface 750 using P-P interface circuits 778, 788. As shown in FIGURE 7, IMCs 772 and 782 may couple the processors to respective memories, namely a memory 732 and a memory 734, which in one embodiment may be portions of main memory locally attached to the respective processors.

[00113] Processors 770, 780 may each exchange information with a chipset 790 via individual P-P interfaces 752, 754 using point to point interface circuits 776, 794, 786, 798. In one embodiment, chipset 790 may also exchange information with a high- performance graphics circuit 738 via a high-performance graphics interface 739.

[00114] A shared cache (not shown) may be included in either processor or outside of both processors, yet connected with the processors via P-P interconnect, such that either or both processors' local cache information may be stored in the shared cache if a processor is placed into a low power mode.

[00115] Chipset 790 may be coupled to a first bus 716 via an interface 796. In one embodiment, first bus 716 may be a Peripheral Component Interconnect (PCI) bus, or a bus such as a PCI Express bus or another third generation I/O interconnect bus, although the scope of the present disclosure is not so limited. [00116] As shown in FIGURE 7, various I/O devices 714 may be coupled to first bus 716, along with a bus bridge 718 which couples first bus 716 to a second bus 720. In one embodiment, second bus 720 may be a low pin count (LPC) bus. Various devices may be coupled to second bus 720 including, for example, a keyboard and/or mouse 722, communication devices 727 and a storage unit 728 such as a disk drive or other mass storage device which may include instructions/code and data 730, in one embodiment. Further, an audio I/O 724 may be coupled to second bus 720. Note that other architectures may be possible. For example, instead of the point-to-point architecture of FIGURE 7, a system may implement a multi-drop bus or other such architecture.

[00117] FIGURE 8 illustrates a block diagram of a third system 800 in accordance with embodiments of the present disclosure. Like elements in FIGURES 7 and 8 bear like reference numerals, and certain aspects of FIGURE 7 have been omitted from FIGURE 8 in order to avoid obscuring other aspects of FIGURE 8.

[00118] FIGURE 8 illustrates that processors 770, 780 may include integrated memory and I/O control logic ("CL") 872 and 882, respectively. For at least one embodiment, CL 872, 882 may include integrated memory controller units such as that described above in connection with FIGURES 5 and 7. In addition. CL 872, 882 may also include I/O control logic. FIGURE 8 illustrates that not only memories 732, 734 may be coupled to CL 872, 882, but also that I/O devices 814 may also be coupled to control logic 872, 882. Legacy I/O devices 815 may be coupled to chipset 790.

[00119] FIGURE 9 illustrates a block diagram of a SoC 900, in accordance with embodiments of the present disclosure. Similar elements in FIGURE 5 bear like reference numerals. Also, dashed lined boxes may represent optional features on more advanced SoCs. An interconnect units 902 may be coupled to: an application processor 910 which may include a set of one or more cores 502A-N and shared cache units 506; a system agent unit 510; a bus controller units 916; an integrated memory controller units 914; a set or one or more media processors 920 which may include integrated graphics logic 908, an image processor 924 for providing still and/or video camera functionality, an audio processor 926 for providing hardware audio acceleration, and a video processor 928 for providing video encode/decode acceleration; an static random access memory (SRAM) unit 930; a direct memory access (DMA) unit 932; and a display unit 940 for coupling to one or more external displays.

[00120] FIGURE 10 illustrates a processor containing a central processing unit (CPU) and a graphics processing unit (GPU), which may perform at least one instruction, in accordance with embodiments of the present disclosure. In one embodiment, an instruction to perform operations according to at least one embodiment could be performed by the CPU. In another embodiment, the instruction could be performed by the GPU. In still another embodiment, the instruction may be performed through a combination of operations performed by the GPU and the CPU. For example, in one embodiment, an instruction in accordance with one embodiment may be received and decoded for execution on the GPU. However, one or more operations within the decoded instruction may be performed by a CPU and the result returned to the GPU for final retirement of the instruction. Conversely, in some embodiments, the CPU may act as the primary processor and the GPU as the co-processor.

[00121] In some embodiments, instructions that benefit from highly parallel, throughput processors may be performed by the GPU, while instructions that benefit from the performance of processors that benefit from deeply pipelined architectures may be performed by the CPU. For example, graphics, scientific applications, financial applications and other parallel workloads may benefit from the performance of the GPU and be executed accordingly, whereas more sequential applications, such as operating system kernel or application code may be better suited for the CPU.

[00122] In FIGURE 10, processor 1000 includes a CPU 1005, GPU 1010, image processor 1015, video processor 1020, USB controller 1025, UART controller 1030, SPI/SDIO controller 1035, display device 1040, memory interface controller 1045, MIPI controller 1050, flash memory controller 1055, dual data rate (DDR) controller 1060, security engine 1065, and I 2 S/I 2 C controller 1070. Other logic and circuits may be included in the processor of FIGURE 10, including more CPUs or GPUs and other peripheral interface controllers.

[00123] One or more aspects of at least one embodiment may be implemented by representative data stored on a machine-readable medium which represents various logic within the processor, which when read by a machine causes the machine to fabricate logic to perform the techniques described herein. Such representations, known as "IP cores" may be stored on a tangible, machine-readable medium ("tape") and supplied to various customers or manufacturing facilities to load into the fabrication machines that actually make the logic or processor. For example, IP cores, such as the Cortex™ family of processors developed by ARM Holdings, Ltd. and Loongson IP cores developed the Institute of Computing Technology (ICT) of the Chinese Academy of Sciences may be licensed or sold to various customers or licensees, such as Texas Instruments, Qualcomm, Apple, or Samsung and implemented in processors produced by these customers or licensees.

[00124] FIGURE 11 illustrates a block diagram illustrating the development of IP cores, in accordance with embodiments of the present disclosure. Storage 1100 may include simulation software 1120 and/or hardware or software model 1110. In one embodiment, the data representing the IP core design may be provided to storage 1100 via memory 1140 (e.g., hard disk), wired connection (e.g., internet) 1150 or wireless connection 1160. The IP core information generated by the simulation tool and model may then be transmitted to a fabrication facility 1165 where it may be fabricated by a 3 rd party to perform at least one instruction in accordance with at least one embodiment.

[00125] In some embodiments, one or more instructions may correspond to a first type or architecture (e.g., x86) and be translated or emulated on a processor of a different type or architecture (e.g., ARM). An instruction, according to one embodiment, may therefore be performed on any processor or processor type, including ARM, x86, MIPS, a GPU, or other processor type or architecture.

[00126] FIGURE 12 illustrates how an instruction of a first type may be emulated by a processor of a different type, in accordance with embodiments of the present disclosure. In FIGURE 12, program 1205 contains some instructions that may perform the same or substantially the same function as an instruction according to one embodiment. However the instructions of program 1205 may be of a type and/or format that is different from or incompatible with processor 1215, meaning the instructions of the type in program 1205 may not be able to execute natively by the processor 1215. However, with the help of emulation logic, 1210, the instructions of program 1205 may be translated into instructions that may be natively be executed by the processor 1215. In one embodiment, the emulation logic may be embodied in hardware. In another embodiment, the emulation logic may be embodied in a tangible, machine-readable medium containing software to translate instructions of the type in program 1205 into the type natively executable by processor 1215. In other embodiments, emulation logic may be a combination of fixed-function or programmable hardware and a program stored on a tangible, machine-readable medium. In one embodiment, the processor contains the emulation logic, whereas in other embodiments, the emulation logic exists outside of the processor and may be provided by a third party. In one embodiment, the processor may load the emulation logic embodied in a tangible, machine-readable medium containing software by executing microcode or firmware contained in or associated with the processor.

[00127] FIGURE 13 illustrates a block diagram contrasting the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set, in accordance with embodiments of the present disclosure. In the illustrated embodiment, the instruction converter may be a software instruction converter, although the instruction converter may be implemented in software, firmware, hardware, or various combinations thereof. FIGURE 13 shows a program in a high level language 1302 may be compiled using an x86 compiler 1304 to generate x86 binary code 1306 that may be natively executed by a processor with at least one x86 instruction set core 1316. The processor with at least one x86 instruction set core 1316 represents any processor that may perform substantially the same functions as an Intel processor with at least one x86 instruction set core by compatibly executing or otherwise processing (1) a substantial portion of the instruction set of the Intel x86 instruction set core or (2) object code versions of applications or other software targeted to run on an Intel processor with at least one x86 instruction set core, in order to achieve substantially the same result as an Intel processor with at least one x86 instruction set core. x86 compiler 1304 represents a compiler that may be operable to generate x86 binary code 1306 (e.g., object code) that may, with or without additional linkage processing, be executed on the processor with at least one x86 instruction set core 1316. Similarly, FIGURE 13 shows the program in high level language 1302 may be compiled using an alternative instruction set compiler 1308 to generate alternative instruction set binary code 1310 that may be natively executed by a processor without at least one x86 instruction set core 1314 (e.g., a processor with cores that execute the MIPS instruction set of MIPS Technologies of Sunnyvale, CA and/or that execute the ARM instruction set of ARM Holdings of Sunnyvale, CA). Instruction converter 1312 may be used to convert x86 binary code 1306 into code that may be natively executed by the processor without an x86 instruction set core 1314. This converted code might not be the same as alternative instruction set binary code 1310; however, the converted code will accomplish the general operation and be made up of instructions from the alternative instruction set. Thus, instruction converter 1312 represents software, firmware, hardware, or a combination thereof that, through emulation, simulation or any other process, allows a processor or other electronic device that does not have an x86 instruction set processor or core to execute x86 binary code 1306.

[00128] FIGURE 14 is a block diagram of an instruction set architecture 1400 of a processor, in accordance with embodiments of the present disclosure. Instruction set architecture 1400 may include any suitable number or kind of components.

[00129] For example, instruction set architecture 1400 may include processing entities such as one or more cores 1406, 1407 and a graphics processing unit 1415. Cores 1406, 1407 may be communicatively coupled to the rest of instruction set architecture 1400 through any suitable mechanism, such as through a bus or cache. In one embodiment, cores 1406, 1407 may be communicatively coupled through an L2 cache control 1408, which may include a bus interface unit 1409 and an L2 cache 1411. Cores 1406, 1407 and graphics processing unit 1415 may be communicatively coupled to each other and to the remainder of instruction set architecture 1400 through interconnect 1410. In one embodiment, graphics processing unit 1415 may use a video code 1420 defining the manner in which particular video signals will be encoded and decoded for output.

[00130] Instruction set architecture 1400 may also include any number or kind of interfaces, controllers, or other mechanisms for interfacing or communicating with other portions of an electronic device or system. Such mechanisms may facilitate interaction with, for example, peripherals, communications devices, other processors, or memory. In the example of FIGURE 14, instruction set architecture 1400 may include a liquid crystal display (LCD) video interface 1425, a subscriber interface module (SFM) interface 1430, a boot ROM interface 1435, a synchronous dynamic random access memory (SDRAM) controller 1440, a flash controller 1445, and a serial peripheral interface (SPI) master unit 1450. LCD video interface 1425 may provide output of video signals from, for example, GPU 1415 and through, for example, a mobile industry processor interface (MIPI) 1490 or a high-definition multimedia interface (HDMI) 1495 to a display. Such a display may include, for example, an LCD. SIM interface 1430 may provide access to or from a SIM card or device. SDRAM controller 1440 may provide access to or from memory such as an SDRAM chip or module 1460. Flash controller 1445 may provide access to or from memory such as flash memory 1465 or other instances of RAM. SPI master unit 1450 may provide access to or from communications modules, such as a Bluetooth module 1470, high- speed 3G modem 1475, global positioning system module 1480, or wireless module 1485 implementing a communications standard such as 802.11.

[00131] FIGURE 15 is a more detailed block diagram of an instruction set architecture 1500 of a processor, in accordance with embodiments of the present disclosure. Instruction architecture 1500 may implement one or more aspects of instruction set architecture 1400. Furthermore, instruction set architecture 1500 may illustrate modules and mechanisms for the execution of instructions within a processor.

[00132] Instruction architecture 1500 may include a memory system 1540 communicatively coupled to one or more execution entities 1565. Furthermore, instruction architecture 1500 may include a caching and bus interface unit such as unit 1510 communicatively coupled to execution entities 1565 and memory system 1540. In one embodiment, loading of instructions into execution entities 1565 may be performed by one or more stages of execution. Such stages may include, for example, instruction prefetch stage 1530, dual instruction decode stage 1550, register rename stage 1555, issue stage 1560, and writeback stage 1570.

[00133] In one embodiment, memory system 1540 may include an executed instruction pointer 1580. Executed instruction pointer 1580 may store a value identifying the oldest, undispatched instruction within a batch of instructions. The oldest instruction may correspond to the lowest Program Order (PO) value. A PO may include a unique number of an instruction. Such an instruction may be a single instruction within a thread represented by multiple strands. A PO may be used in ordering instructions to ensure correct execution semantics of code. A PO may be reconstructed by mechanisms such as evaluating increments to PO encoded in the instruction rather than an absolute value. Such a reconstructed PO may be known as an "RPO." Although a PO may be referenced herein, such a PO may be used interchangeably with an RPO. A strand may include a sequence of instructions that are data dependent upon each other. The strand may be arranged by a binary translator at compilation time. Hardware executing a strand may execute the instructions of a given strand in order according to the PO of the various instructions. A thread may include multiple strands such that instructions of different strands may depend upon each other. A PO of a given strand may be the PO of the oldest instruction in the strand which has not yet been dispatched to execution from an issue stage. Accordingly, given a thread of multiple strands, each strand including instructions ordered by PO, executed instruction pointer 1580 may store the oldest— illustrated by the lowest number— PO in the thread.

[00134] In another embodiment, memory system 1540 may include a retirement pointer 1582. Retirement pointer 1582 may store a value identifying the PO of the last retired instruction. Retirement pointer 1582 may be set by, for example, retirement unit 454. If no instructions have yet been retired, retirement pointer 1582 may include a null value.

[00135] Execution entities 1565 may include any suitable number and kind of mechanisms by which a processor may execute instructions. In the example of FIGURE 15, execution entities 1565 may include ALU/multiplication units (MUL) 1566, ALUs 1567, and floating point units (FPU) 1568. In one embodiment, such entities may make use of information contained within a given address 1569. Execution entities 1565 in combination with stages 1530, 1550, 1555, 1560, 1570 may collectively form an execution unit.

[00136] Unit 1510 may be implemented in any suitable manner. In one embodiment, unit 1510 may perform cache control. In such an embodiment, unit 1510 may thus include a cache 1525. Cache 1525 may be implemented, in a further embodiment, as an L2 unified cache with any suitable size, such as zero, 128k, 256k, 512k, 1M, or 2M bytes of memory. In another, further embodiment, cache 1525 may be implemented in error-correcting code memory. In another embodiment, unit 1510 may perform bus interfacing to other portions of a processor or electronic device. In such an embodiment, unit 1510 may thus include a bus interface unit 1520 for communicating over an interconnect, intraprocessor bus, interprocessor bus, or other communication bus, port, or line. Bus interface unit 1520 may provide interfacing in order to perform, for example, generation of the memory and input/output addresses for the transfer of data between execution entities 1565 and the portions of a system external to instruction architecture 1500.

[00137] To further facilitate its functions, bus interface unit 1520 may include an interrupt control and distribution unit 1511 for generating interrupts and other communications to other portions of a processor or electronic device. In one embodiment, bus interface unit 1520 may include a snoop control unit 1512 that handles cache access and coherency for multiple processing cores. In a further embodiment, to provide such functionality, snoop control unit 1512 may include a cache-to-cache transfer unit that handles information exchanges between different caches. In another, further embodiment, snoop control unit 1512 may include one or more snoop filters 1514 that monitors the coherency of other caches (not shown) so that a cache controller, such as unit 1510, does not have to perform such monitoring directly. Unit 1510 may include any suitable number of timers 1515 for synchronizing the actions of instruction architecture 1500. Also, unit 1510 may include an AC port 1516.

[00138] Memory system 1540 may include any suitable number and kind of mechanisms for storing information for the processing needs of instruction architecture 1500. In one embodiment, memory system 1540 may include a load store unit 1546 for storing information such as buffers written to or read back from memory or registers. In another embodiment, memory system 1540 may include a translation lookaside buffer (TLB) 1545 that provides look-up of address values between physical and virtual addresses. In yet another embodiment, memory system 1540 may include a memory management unit (MMU) 1544 for facilitating access to virtual memory. In still yet another embodiment, memory system 1540 may include a prefetcher 1543 for requesting instructions from memory before such instructions are actually needed to be executed, in order to reduce latency.

[00139] The operation of instruction architecture 1500 to execute an instruction may be performed through different stages. For example, using unit 1510 instruction prefetch stage 1530 may access an instruction through prefetcher 1543. Instructions retrieved may be stored in instruction cache 1532. Prefetch stage 1530 may enable an option 1531 for fast-loop mode, wherein a series of instructions forming a loop that is small enough to fit within a given cache are executed. In one embodiment, such an execution may be performed without needing to access additional instructions from, for example, instruction cache 1532. Determination of what instructions to prefetch may be made by, for example, branch prediction unit 1535, which may access indications of execution in global history 1536, indications of target addresses 1537, or contents of a return stack 1538 to determine which of branches 1557 of code will be executed next. Such branches may be possibly prefetched as a result. Branches 1557 may be produced through other stages of operation as described below. Instruction prefetch stage 1530 may provide instructions as well as any predictions about future instructions to dual instruction decode stage 1550.

[00140] Dual instruction decode stage 1550 may translate a received instruction into microcode-based instructions that may be executed. Dual instruction decode stage 1550 may simultaneously decode two instructions per clock cycle. Furthermore, dual instruction decode stage 1550 may pass its results to register rename stage 1555. In addition, dual instruction decode stage 1550 may determine any resulting branches from its decoding and eventual execution of the microcode. Such results may be input into branches 1557.

[00141] Register rename stage 1555 may translate references to virtual registers or other resources into references to physical registers or resources. Register rename stage 1555 may include indications of such mapping in a register pool 1556. Register rename stage 1555 may alter the instructions as received and send the result to issue stage 1560.

[00142] Issue stage 1560 may issue or dispatch commands to execution entities 1565. Such issuance may be performed in an out-of-order fashion. In one embodiment, multiple instructions may be held at issue stage 1560 before being executed. Issue stage 1560 may include an instruction queue 1561 for holding such multiple commands. Instructions may be issued by issue stage 1560 to a particular processing entity 1565 based upon any acceptable criteria, such as availability or suitability of resources for execution of a given instruction. In one embodiment, issue stage 1560 may reorder the instructions within instruction queue 1561 such that the first instructions received might not be the first instructions executed. Based upon the ordering of instruction queue 1561, additional branching information may be provided to branches 1557. Issue stage 1560 may pass instructions to executing entities 1565 for execution.

[00143] Upon execution, writeback stage 1570 may write data into registers, queues, or other structures of instruction set architecture 1500 to communicate the completion of a given command. Depending upon the order of instructions arranged in issue stage 1560, the operation of writeback stage 1570 may enable additional instructions to be executed. Performance of instruction set architecture 1500 may be monitored or debugged by trace unit 1575.

[00144] FIGURE 16 is a block diagram of an execution pipeline 1600 for an instruction set architecture of a processor, in accordance with embodiments of the present disclosure. Execution pipeline 1600 may illustrate operation of, for example, instruction architecture 1500 of FIGURE 15.

[00145] Execution pipeline 1600 may include any suitable combination of steps or operations. In 1605, predictions of the branch that is to be executed next may be made. In one embodiment, such predictions may be based upon previous executions of instructions and the results thereof. In 1610, instructions corresponding to the predicted branch of execution may be loaded into an instruction cache. In 1615, one or more such instructions in the instruction cache may be fetched for execution. In 1620, the instructions that have been fetched may be decoded into microcode or more specific machine language. In one embodiment, multiple instructions may be simultaneously decoded. In 1625, references to registers or other resources within the decoded instructions may be reassigned. For example, references to virtual registers may be replaced with references to corresponding physical registers. In 1630, the instructions may be dispatched to queues for execution. In 1640, the instructions may be executed. Such execution may be performed in any suitable manner. In 1650, the instructions may be issued to a suitable execution entity. The manner in which the instruction is executed may depend upon the specific entity executing the instruction. For example, at 1655, an ALU may perform arithmetic functions. The ALU may utilize a single clock cycle for its operation, as well as two shifters. In one embodiment, two ALUs may be employed, and thus two instructions may be executed at 1655. At 1660, a determination of a resulting branch may be made. A program counter may be used to designate the destination to which the branch will be made. 1660 may be executed within a single clock cycle. At 1665, floating point arithmetic may be performed by one or more FPUs. The floating point operation may require multiple clock cycles to execute, such as two to ten cycles. At 1670, multiplication and division operations may be performed. Such operations may be performed in four clock cycles. At 1675, loading and storing operations to registers or other portions of pipeline 1600 may be performed. The operations may include loading and storing addresses. Such operations may be performed in four clock cycles. At 1680, write-back operations may be performed as required by the resulting operations of 1655-1675.

[00146] FIGURE 17 is a block diagram of an electronic device 1700 for utilizing a processor 1710, in accordance with embodiments of the present disclosure. Electronic device 1700 may include, for example, a notebook, an ultrabook, a computer, a tower server, a rack server, a blade server, a laptop, a desktop, a tablet, a mobile device, a phone, an embedded computer, or any other suitable electronic device.

[00147] Electronic device 1700 may include processor 1710 communicatively coupled to any suitable number or kind of components, peripherals, modules, or devices. Such coupling may be accomplished by any suitable kind of bus or interface, such as I 2 C bus, system management bus (SMBus), low pin count (LPC) bus, SPI, high definition audio (FIDA) bus, Serial Advance Technology Attachment (SATA) bus, USB bus (versions 1, 2, 3), or Universal Asynchronous Receiver/Transmitter (UART) bus.

[00148] Such components may include, for example, a display 1724, a touch screen 1725, a touch pad 1730, a near field communications (NFC) unit 1745, a sensor hub 1740, a thermal sensor 1746, an express chipset (EC) 1735, a trusted platform module (TPM) 1738, BlOS/firmware/flash memory 1722, a digital signal processor 1760, a drive 1720 such as a solid state disk (SSD) or a hard disk drive (FIDD), a wireless local area network (WLAN) unit 1750, a Bluetooth unit 1752, a wireless wide area network (WW AN) unit 1756, a global positioning system (GPS) 1775, a camera 1754 such as a USB 3.0 camera, or a low power double data rate (LPDDR) memory unit 1715 implemented in, for example, the LPDDR3 standard. These components may each be implemented in any suitable manner. [00149] Furthermore, in various embodiments other components may be communicatively coupled to processor 1710 through the components discussed above. For example, an accelerometer 1741, ambient light sensor (ALS) 1742, compass 1743, and gyroscope 1744 may be communicatively coupled to sensor hub 1740. A thermal sensor 1739, fan 1737, keyboard 1736, and touch pad 1730 may be communicatively coupled to EC 1735. Speakers 1763, headphones 1764, and a microphone 1765 may be communicatively coupled to an audio unit 1762, which may in turn be communicatively coupled to DSP 1760. Audio unit 1762 may include, for example, an audio codec and a class D amplifier. A SEVI card 1757 may be communicatively coupled to WW AN unit 1756. Components such as WLAN unit 1750 and Bluetooth unit 1752, as well as WW AN unit 1756 may be implemented in a next generation form factor (NGFF).

[00150] Embodiments of the present disclosure involve instructions and processing logic for executing one or more vector operations that target vector registers, at least some of which operate on structures stored in the vector registers that contain multiple elements. FIGURE 18 is an illustration of an example system 1800 for instructions and logic for even and/or odd vector GET operations, according to embodiments of the present disclosure.

[00151] Data structures used in some applications may include tuples of elements that can be accessed individually. In some cases, these types of data structures may be organized as arrays. In embodiments of the present disclosure, multiple ones of these data structures may be stored in a single vector register. For example, each data structure may include multiple data elements of different types, and each of the data structures may be stored in a different "lane" within a vector register. In this context, the term "lane" may refer to a fixed-width portion of a vector register that holds multiple data elements. For example, a 512-bit vector register may include four 128-bit lanes. In embodiments of the present disclosure, the individual data elements within such data structures may be re-organized into multiple separate vectors of like elements in order to operate on like elements in the same manner. For example, one or more even and/or odd vector GET instructions may be executed to extract like elements from the data structures and to store them together in respective destination vectors. After operating on at least some of the data elements, one or more other instructions may be called to permute the data elements in the separate vectors back into their original data structures of tuples.

[00152] System 1800 may include a processor, SoC, integrated circuit, or other mechanism. For example, system 1800 may include processor 1804. Although processor 1804 is shown and described as an example in FIGURE 18, any suitable mechanism may be used. Processor 1804 may include any suitable mechanisms for executing vector operations that target vector registers, including those that operate on structures stored in the vector registers that contain multiple elements. In one embodiment, such mechanisms may be implemented in hardware. Processor 1804 may be implemented fully or in part by the elements described in FIGURES 1-17.

[00153] Instructions to be executed on processor 1804 may be included in instruction stream 1802. Instruction stream 1802 may be generated by, for example, a compiler, just-in-time interpreter, or other suitable mechanism (which might or might not be included in system 1800), or may be designated by a drafter of code resulting in instruction stream 1802. For example, a compiler may take application code and generate executable code in the form of instruction stream 1802. Instructions may be received by processor 1804 from instruction stream 1802. Instruction stream 1802 may be loaded to processor 1804 in any suitable manner. For example, instructions to be executed by processor 1804 may be loaded from storage, from other machines, or from other memory, such as memory system 1830. The instructions may arrive and be available in resident memory, such as RAM, wherein instructions are fetched from storage to be executed by processor 1804. The instructions may be fetched from resident memory by, for example, a prefetcher or fetch unit (such as instruction fetch unit 1808). In one embodiment, instruction stream 1802 may include an instruction to perform an even or odd vector GET operation. For example, in one embodiment, instruction stream 1802 may include one or more "VPGETEVEN" instructions. In another embodiment, instruction stream 1802 may include one or more "VPGETODD" instructions. Note that instruction stream 1802 may include instructions other than those that perform vector operations.

[00154] Processor 1804 may include a front end 1806, which may include an instruction fetch pipeline stage (such as instruction fetch unit 1808) and a decode pipeline stage (such as decide unit 1810). Front end 1806 may receive and decode instructions from instruction stream 1802 using decode unit 1810. The decoded instructions may be dispatched, allocated, and scheduled for execution by an allocation stage of a pipeline (such as allocator 1814) and allocated to specific execution units 1816 for execution. One or more specific instructions to be executed by processor 1804 may be included in a library defined for execution by processor 1804. In another embodiment, specific instructions may be targeted by particular portions of processor 1804. For example, processor 1804 may recognize an attempt in instruction stream 1802 to execute a vector operation in software and may issue the instruction to a particular one of execution units 1816.

[00155] During execution, access to data or additional instructions (including data or instructions resident in memory system 1830) may be made through memory subsystem 1820. Moreover, results from execution may be stored in memory subsystem 1820 and may subsequently be flushed to memory system 1830. Memory subsystem 1820 may include, for example, memory, RAM, or a cache hierarchy, which may include one or more Level 1 (LI) caches 1822 or Level 2 (L2) caches 1824, some of which may be shared by multiple cores 1812 or processors 1804. After execution by execution units 1816, instructions may be retired by a writeback stage or retirement stage in retirement unit 1818. Various portions of such execution pipelining may be performed by one or more cores 1812.

[00156] An execution unit 1816 that executes vector instructions may be implemented in any suitable manner. In one embodiment, an execution unit 1816 may include or may be communicatively coupled to memory elements to store information necessary to perform one or more vector operations. In one embodiment, an execution unit 1816 may include circuitry to perform even and/or odd vector GET operations. For example, an execution unit 1816 may include circuitry to implement a "VPGETEVEN" instruction. In another embodiment, an execution unit 1816 may include circuitry to implement a "VPGETODD" instruction. Example implementations of these instructions are described in more detail below.

[00157] In embodiments of the present disclosure, the instruction set architecture of processor 1804 may implement one or more extended vector instructions that are defined as Intel® Advanced Vector Extensions 512 (Intel® AVX-512) instructions. Processor 1804 may recognize, either implicitly or through decoding and execution of specific instructions, that one of these extended vector operations is to be performed. In such cases, the extended vector operation may be directed to a particular one of the execution units 1816 for execution of the instruction. In one embodiment, the instruction set architecture may include support for 512-bit SIMD operations. For example, the instruction set architecture implemented by an execution unit 1816 may include 32 vector registers, each of which is 512 bits wide, and support for vectors that are up to 512 bits wide. The instruction set architecture implemented by an execution unit 1816 may include eight dedicated mask registers for conditional execution and efficient merging of destination operands. At least some extended vector instructions may include support for broadcasting. At least some extended vector instructions may include support for embedded masking to enable predication.

[00158] At least some extended vector instructions may apply the same operation to each element of a vector stored in a vector register at the same time. Other extended vector instructions may apply the same operation to corresponding elements in multiple source vector registers. For example, the same operation may be applied to each of the individual data elements of a packed data item stored in a vector register by an extended vector instruction. In another example, an extended vector instruction may specify a single vector operation to be performed on the respective data elements of two source vector operands to generate a destination vector operand.

[00159] In embodiments of the present disclosure, at least some extended vector instructions may be executed by a SIMD coprocessor within a processor core. For example, one or more of execution units 1816 within a core 1812 may implement the functionality of a SFMD coprocessor. The SIMD coprocessor may be implemented fully or in part by the elements described in FIGURES 1-17. In one embodiment, extended vector instructions that are received by processor 1804 within instruction stream 1802 may be directed to an execution unit 1816 that implements the functionality of a SFMD coprocessor.

[00160] FIGURE 19 illustrates an example processor core 1900 of a data processing system that performs SIMD operations, in accordance with embodiments of the present disclosure. Processor 1900 may be implemented fully or in part by the elements described in FIGURES 1-18. In one embodiment, processor core 1900 may include a main processor 1920 and a SIMD coprocessor 1910. SIMD coprocessor 1910 may be implemented fully or in part by the elements described in FIGURES 1-17. In one embodiment, SIMD coprocessor 1910 may implement at least a portion of one of the execution units 1816 illustrated in FIGURE 18. In one embodiment, SIMD coprocessor 1910 may include a SIMD execution unit 1912 and an extended vector register file 1914. SIMD coprocessor 1910 may perform operations of extended SIMD instruction set 1916. Extended SIMD instruction set 1916 may include one or more extended vector instructions. These extended vector instructions may control data processing operations that include interactions with data resident in extended vector register file 1914.

[00161] In one embodiment, main processor 1920 may include a decoder 1922 to recognize instructions of extended SIMD instruction set 1916 for execution by SFMD coprocessor 1910. In other embodiments, SIMD coprocessor 1910 may include at least part of decoder (not shown) to decode instructions of extended SIMD instruction set 1916. Processor core 1900 may also include additional circuitry (not shown) which may be unnecessary to the understanding of embodiments of the present disclosure.

[00162] In embodiments of the present disclosure, main processor 1920 may execute a stream of data processing instructions that control data processing operations of a general type, including interactions with cache(s) 1924 and/or register file 1926. Embedded within the stream of data processing instructions may be SIMD coprocessor instructions of extended SIMD instruction set 1916. Decoder 1922 of main processor 1920 may recognize these SIMD coprocessor instructions as being of a type that should be executed by an attached SIMD coprocessor 1910. Accordingly, main processor 1920 may issue these SIMD coprocessor instructions (or control signals representing SFMD coprocessor instructions) on the coprocessor bus 1915. From coprocessor bus 1915, these instructions may be received by any attached SIMD coprocessor. In the example embodiment illustrated in FIGURE 19, SIMD coprocessor 1910 may accept and execute any received SIMD coprocessor instructions intended for execution on SFMD coprocessor 1910.

[00163] In one embodiment, main processor 1920 and SFMD coprocessor 1920 may be integrated into a single processor core 1900 that includes an execution unit, a set of register files, and a decoder to recognize instructions of extended SIMD instruction set 1916. [00164] The example implementations depicted in FIGURES 18 and 19 are merely illustrative and are not meant to be limiting on the implementation of the mechanisms described herein for performing extended vector operations.

[00165] FIGURE 20 is a block diagram illustrating an example extended vector register file 1914, in accordance with embodiments of the present disclosure. Extended vector register file 1914 may include 32 SIMD registers (ZMMO - ZMM31), each of which is 512-bit wide. The lower 256 bits of each of the ZMM registers are aliased to a respective 256-bit YMM register. The lower 128 bits of each of the YMM registers are aliased to a respective 128-bit XMM register. For example, bits 255 to 0 of register ZMMO (shown as 2001) are aliased to register YMMO, and bits 127 to 0 of register ZMMO are aliased to register XMM0. Similarly, bits 255 to 0 of register ZMM1 (shown as 2002) are aliased to register YMM1, bits 127 to 0 of register ZMM1 are aliased to register XMM1, bits 255 to 0 of register ZMM2 (shown as 2003) are aliased to register YMM2, bits 127 to 0 of the register ZMM2 are aliased to register XMM2, and so on.

[00166] In one embodiment, extended vector instructions in extended SIMD instruction set 1916 may operate on any of the registers in extended vector register file 1914, including registers ZMMO - ZMM31, registers YMMO - YMM 15, and registers XMM0 - XMM7. In another embodiment, legacy SFMD instructions implemented prior to the development of the Intel® AVX-512 instruction set architecture may operate on a subset of the YMM or XMM registers in extended vector register file 1914. For example, access by some legacy SIMD instructions may be limited to registers YMMO - YMM15 or to registers XMM0 - XMM7, in some embodiments.

[00167] In embodiments of the present disclosure, the instruction set architecture may support extended vector instructions that access up to four instruction operands. For example, in at least some embodiments, the extended vector instructions may access any of 32 extended vector registers ZMMO - ZMM31 shown in FIGURE 20 as source or destination operands. In some embodiments, the extended vector instructions may access any one of eight dedicated mask registers. In some embodiments, the extended vector instructions may access any of sixteen general-purpose registers as source or destination operands. [00168] In embodiments of the present disclosure, encodings of the extended vector instructions may include an opcode specifying a particular vector operation to be performed. Encodings of the extended vector instructions may include an encoding identifying any of eight dedicated mask registers, kO - k7. Each bit of the identified mask register may govern the behavior of a vector operation as it is applied to a respective source vector element or destination vector element. For example, in one embodiment, seven of these mask registers (kl - k7) may be used to conditionally govern the per-data-element computational operation of an extended vector instruction. In this example, the operation is not performed for a given vector element if the corresponding mask bit is not set. In another embodiment, mask registers kl - k7 may be used to conditionally govern the per-element updates to the destination operand of an extended vector instruction. In this example, a given destination element is not updated with the result of the operation if the corresponding mask bit is not set.

[00169] In one embodiment, encodings of the extended vector instructions may include an encoding specifying the type of masking to be applied to the destination (result) vector of an extended vector instruction. For example, this encoding may specify whether merging-masking or zero-masking is applied to the execution of a vector operation. If this encoding specifies merging-masking, the value of any destination vector element whose corresponding bit in the mask register is not set may be preserved in the destination vector. If this encoding specifies zero-masking, the value of any destination vector element whose corresponding bit in the mask register is not set may be replaced with a value of zero in the destination vector. In one example embodiment, mask register kO is not used as a predicate operand for a vector operation. In this example, the encoding value that would otherwise select mask kO may instead select an implicit mask value of all ones, thereby effectively disabling masking. In this example, mask register kO may be used for any instruction that takes one or more mask registers as a source or destination operand.

[00170] One example of the use and syntax of an extended vector instruction is shown below:

VADDPS zmml, zmm2, zmm3

[00171] In one embodiment, the instruction shown above would apply a vector addition operation to all of the elements of the source vector registers zmm2 and zmm3. In one embodiment, the instruction shown above would store the result vector in destination vector register zmml . Alternatively, an instruction to conditionally apply a vector operation is shown below:

VADDPS zmml {kl } {z}, zmm2, zmm3

[00172] In this example, the instruction would apply a vector addition operation to the elements of the source vector registers zmm2 and zmm3 for which the corresponding bit in mask register kl is set. In this example, if the {z} modifier is set, the values of the elements of the result vector stored in destination vector register zmml corresponding to bits in mask register kl that are not set may be replaced with a value of zero. Otherwise, if the {z} modifier is not set, or if no {z} modifier is specified, the values of the elements of the result vector stored in destination vector register zmml corresponding to bits in mask register kl that are not set may be preserved.

[00173] In one embodiment, encodings of some extended vector instructions may include an encoding to specify the use of embedded broadcast. If an encoding specifying the use of embedded broadcast is included for an instruction that loads data from memory and performs some computational or data movement operation, a single source element from memory may be broadcast across all elements of the effective source operand. For example, embedded broadcast may be specified for a vector instruction when the same scalar operand is to be used in a computation that is applied to all of the elements of a source vector. In one embodiment, encodings of the extended vector instructions may include an encoding specifying the size of the data elements that are packed into a source vector register or that are to be packed into a destination vector register. For example, the encoding may specify that each data element is a byte, word, doubleword, or quadword, etc. In another embodiment, encodings of the extended vector instructions may include an encoding specifying the data type of the data elements that are packed into a source vector register or that are to be packed into a destination vector register. For example, the encoding may specify that the data represents single or double precision integers, or any of multiple supported floating point data types.

[00174] In one embodiment, encodings of the extended vector instructions may include an encoding specifying a memory address or memory addressing mode with which to access a source or destination operand. In another embodiment, encodings of the extended vector instructions may include an encoding specifying a scalar integer or a scalar floating point number that is an operand of the instruction. While several specific extended vector instructions and their encodings are described herein, these are merely examples of the extended vector instructions that may be implemented in embodiments of the present disclosure. In other embodiments, more fewer, or different extended vector instructions may be implemented in the instruction set architecture and their encodings may include more, less, or different information to control their execution.

[00175] Data structures that are organized in tuples of three or four elements that can be accessed individually are common in many applications. For examples, RGB (Red- Green-Blue) is a common format in many encoding schemes used in media applications. A data structure storing this type of information may consist of three data elements (an R component, a G component, and a B component), which are stored contiguously and are the same size (for example, they may all be 32-bit integers). A format that is common for encoding data in High Performance Computing applications includes two or more coordinate values that collectively represent a position within a multidimensional space. For example, a data structure may store X and Y coordinates representing a position within a 2D space or may store X, Y, and Z coordinates representing a position within a 3D space. Other common data structures having a higher number of elements may appear in these and other types of applications.

[00176] In some cases, these types of data structures may be organized as arrays. In embodiments of the present disclosure, multiple ones of these data structures may be stored in a single vector register, such as one of the XMM, YMM, or ZMM vector registers described above. In one embodiment, the individual data elements within such data structures may be re-organized into vectors of like elements that can then be used in SIMD loops, as these elements might not be stored next to each other in the data structures themselves. An application may include instructions to operate on all of the data elements of one type in the same way and instructions to operate on all of the data elements of a different type in a different way. In one example, for an array of data structures that each include an R component, a G components, and a B component in an RGB color space, a different computational operation may be applied to the R components in each of the rows of the array (each data structures) than a computational operation that is applied to the G components or the B components in each of the rows of the array.

[00177] In yet another example, many molecular dynamics applications operate on neighbor lists consisting of an array of XYZW data structures. In this example, each of the data structures may include an X component, a Y component, a Z component, and a W component. In embodiments of the present disclosure, in order to operate on individual ones of these types of components, one or more even or odd vector GET instructions may be used to extract the X values, Y values, Z values, and W values from the array of XYZW data structures into separate vectors that contain elements of the same type. As a result, one of the vectors may include all of the X values, one may include all of the Y values, one may include all of the Z values, and one may include all of the W values. In some cases, after operating on at least some of the data elements within these separate vectors, an application may include instructions that operate on the XYZW data structures as a whole. For example, after updating at least some of the X, Y, Z, or W values in the separate vectors, the application may include instructions that access one of the data structures to retrieve or operate on an XYZW data structure as a whole. In this case, one or more other instructions may be called in order to store the XYZW values back in their original format.

[00178] In embodiments of the present disclosure, the instructions for performing extended vector operations that are implemented by a processor core (such as core 1812 in system 1800) or by a SIMD coprocessor (such as SIMD coprocessor 1910) may include an instruction to perform an even vector GET operation or an odd vector GET operation. For example, these instructions may include one or more "VPGETEVEN" or "VPGETODD" instructions. In embodiments of the present disclosure, these VPGETEVEN and VPGETODD instructions may be used to extract data elements of different types from data structures that include elements of multiple types. The VPGETEVEN and VPGETODD instructions may store the extracted data elements into respective vectors containing the different data elements of a data structure in memory. In one embodiment, these instructions may be used to extract data elements from data structures whose data elements are stored together in contiguous locations within one or more source vector registers. In one embodiment, each of the multiple- element data structures may represent a row of an array.

[00179] In embodiments of the present disclosure, different "lanes" within a vector register may be used to hold data elements of different types. In one embodiment, each lane may hold multiple data elements of a single type. In another embodiment, the data elements held in a single lane may not be of the same type, but they may be operated on by an application in the same way. For example, one lane may hold X values, one lane may hold Y values, and so on. In this context, the term "lane" may refer to a portion of the vector register that holds multiple data elements that are to be treated in the same way, rather than to a portion of the vector register that holds a single data element. In another embodiment, different "lanes" within a vector register may be used to hold the data elements of different data structures. In this context, the term "lane" may refer to a portion of the vector register that holds multiple data elements of a single data structure. In this example, the data elements stored in each lane may be of two or more different types. In one embodiment in which the vector registers are 512 bits wide, there may be four 128-bit lanes. For example, the lowest-order 128 bits within a 512- bit vector register may be referred as the first lane, the next 128 bits may be referred to as the second lane, and so on. In this example, each of the 128-bit lanes may store two 64-bit data elements, four 32-bit data elements, eight 16-bit data elements, or four 8-bit data elements. In another embodiment in which the vector registers are 512 bits wide, there may be two 256-bit lanes, each of which stores data elements of a respective data structure. In this example, each of the 256-bit lanes may store multiple data elements of up to 128 bits each.

[00180] In one embodiment, data representing eight XYZW-type data structures may be stored in two extended vector registers, such as ZMM registers. In this example, the X, Y, Z and W components of each data structure may be 32-bits each. Each of the ZMM registers may store data elements representing a first data structure in a first lane, data elements representing a second data structure in a second lane, data elements representing a third data structure in a third lane, and data elements representing a fourth data structure in a fourth lane. In one embodiment, a "VPGETEVEN" instruction may be used to extract the X and Z components from the four XYZW-type data structures in each of the two source ZMM register and to store them to a destination vector register. The destination vector register may be another extended vector register such as a ZMM register. In this example, the VPGETEVEN instruction may permute the data elements extracted from the source ZMM registers to create a destination vector. For example, the destination vector that is generated by the VPGETEVEN instruction and stored to a destination ZMM register by the VPGETEVEN instruction may include the X and Z data elements from the four lanes of the two source ZMM registers in the following order: X1Z1, X2Z2, X3Z3, X4Z4, X5Z5, X6Z6, X7Z7, X8Z8. In one embodiment, a "VPGETODD" instruction may be used to extract the Y and W components from the four XYZW-type data structures in each of the two source ZMM registers and to store them to a destination vector register. The destination vector register may be another extended vector register such as a ZMM register. In this example, the VPGETODD instruction may permute the data elements extracted from the source ZMM registers to create a destination vector. For example, the destination vector that is generated by the VPGETEVEN instruction and stored to a destination ZMM register by the VPGETODD instruction may include the Y and W data elements from the four lanes of the two source ZMM registers in the following order: Y1W1, Y2W2, Y3W3, Y4W4, Y5W5, Y6W6, Y7W7, Y8W8.

[00181] FIGURE 21 is an illustration of an operation to perform an even or odd vector GET operation, according to embodiments of the present disclosure. In one embodiment, system 1800 may execute an instruction to perform an even or odd vector GET operation. For example, a VPGETEVEN instruction or a VPGETODD instruction may be executed. The instruction may include any suitable number and kind of operands, bits, flags, parameters, or other elements. In one embodiment, the encodings for the VPGETEVEN and VPGETODD instructions may include some or all of the same fields, and these common fields may be populated in the same ways for similar variants of these instructions. In one embodiment, the value of a single bit or field in the encodings of the VPGETEVEN and VPGETODD instructions may indicate whether even or odd numbered elements are to be extracted from the source vector registers by the instruction. In this context, the phrase "even numbered" may be used to describe a data element, position, or location that is addressed, referenced, or indexed using an even number. Similarly, the phrase "odd numbered" may be used to describe a data element, position, or location that is addressed, referenced, or indexed using an odd number. In another embodiment, the VPGETEVEN and VPGETODD instructions may share an opcode, and an instruction parameter included in the call of the instruction may indicate whether even or odd numbered elements are to be extracted from the source vector registers by the instruction.

[00182] In one embodiment, a call of a VPGETEVEN instruction or a VPGETODD instruction may reference two source vector registers. Each of the source vector registers may be an extended vector register that contains packed data representing multiple data elements of two or more data structures. The data elements of each of the data structures may be stored in contiguous locations in the source vector registers. A call of a VPGETEVEN instruction or a VPGETODD instruction may also reference a destination vector register. The destination vector register may be an extended vector register into which data elements extracted from the even or odd numbered locations within the source vector registers by the VPGETEVEN or VPGETODD instruction, respectively, should be stored. For example, execution of the VPGETEVEN instruction may cause the data elements in alternate locations in the source vector register beginning at location zero to be written to contiguous locations in the destination vector register referenced in the call of the VPGETEVEN instruction. Similarly, execution of the VPGETODD instruction may cause the data elements in alternate locations in the source vector register beginning at location one to be written to contiguous locations in the destination vector register referenced in the call of the VPGETODD instruction.

[00183] In one embodiment, a call of a VPGETEVEN or VPGETODD instruction may specify the size of the data elements in the data structures represented by the data stored in the source vector registers. In one embodiment, all of the data elements may be the same size and type. In one embodiment, a call of a VPGETEVEN or VPGETODD instruction may specify the number of data elements that are included in the data structures represented by the data stored in the source vector registers. In one embodiment, a call of a VPGETEVEN or VPGETODD instruction may specify a mask register to be applied to the result of the execution when writing it to the destination vector register. In yet another embodiment, a call of a VPGETEVEN or VPGETODD instruction may specify the type of masking to be applied to the result, such as merging-masking or zero-masking. In still other embodiments, more, fewer, or different parameters may be referenced in a call of a VPGETEVEN or VPGETODD instruction.

[00184] One or more of the parameters of the VPGETEVEN or VPGETODD instructions shown in FIGURE 18 may be inherent for the instruction. For example, in different embodiments, any combination of these parameters may be encoded in a bit or field of the opcode format for the instruction. In other embodiments, one or more of the parameters of the VPGETEVEN or VPGETODD type instructions shown in FIGURE 18 may be optional for the instruction. For example, in different embodiments, any combination of these parameters may be specified when the instruction is called.

[00185] In the example embodiment illustrated in FIGURE 21, at (1) the VPGETEVEN or VPGETODD instruction and its parameters (which may include any or all of the source and destination vector registers described above, an indication of the size of the data elements in each data structure, an indication of the number of data elements in each data structure, a parameter identifying a particular mask register, or a parameter specifying a masking type) may be received by SIMD execution unit 1912. For example, the VPGETEVEN or VPGETODD instruction may be issued to SIMD execution unit 1912 within a SIMD coprocessor 1910 by an allocator 1814 within a core 1812, in one embodiment. In another embodiment, the VPGETEVEN or VPGETODD instruction may be issued to SFMD execution unit 1912 within a SFMD coprocessor 1910 by a decoder 1922 of a main processor 1920. The VPGETEVEN or VPGETODD instruction may be executed logically by SFMD execution unit 1912.

[00186] In this example, packed data representing multiple data structures may be stored in a source vector register such as extended vector register ZMMn (2102) within an extended vector register file 1914. The data may be stored in extended vector register ZMMn (2102) such that the data elements that make up each of the data structures are stored together in the extended vector register. For example, a first lane that includes the lowest-order bits of the extended vector register ZMMn (2102) may store multiple data elements of a first data structure, a second lane that includes the next-lowest-order bits of the extended vector register ZMMn (2102) may store multiple data elements of a second data structure, and so on.

[00187] Execution of the VPGETEVEN or VPGETODD instruction by SIMD execution unit 1912 may include, at (2) obtaining the data elements representing each of the data structures stored in a first source vector register 2101 in extended vector register file 1914. For example, a parameter of the VPGETEVEN or VPGETODD instruction may identify an extended vector register ZMMn (2101) as the first source of the data to be stored to a destination vector register by the VPGETEVEN or VPGETODD instruction, and SIMD execution unit 1912 may extract the even or odd numbered data elements from the packed data that was stored in multiple lanes in the identified first source vector register. Execution of the VPGETEVEN or VPGETODD instruction by SIMD execution unit 1912 may include, at (3) obtaining the data elements representing each of the data structures stored in a second source vector register 2102 in extended vector register file 1914. For example, a parameter of the VPGETEVEN or VPGETODD instruction may identify an extended vector register ZMMn (2102) as the second source of the data to be stored to a destination vector register by the VPGETEVEN or VPGETODD instruction, and SFMD execution unit 1912 may extract the even or odd numbered data elements from the packed data that was stored in multiple lanes in the identified second source vector register.

[00188] Execution of the VPGETEVEN or VPGETODD instruction by SIMD execution unit 1912 may include, at (4) permuting the source data that was obtained from the even or odd numbered locations within the two identified source vector registers to include in a destination vector. In one embodiment, permuting the data may include assembling the data elements that were extracted from the two source registers next to each other for inclusion in the destination vector. For example, the alternate data elements that were extracted from the first data structure in the first source vector register may be placed next to each other in the destination vector. In one embodiment, execution of the VPGETEVEN or VPGETODD instruction may include repeating any or all of steps of the operations illustrated in FIGURE 21 for each of the data structures whose data is stored as packed data in the two source vector registers 2101 and 2102. In this example, following the placement of the alternate data elements that were extracted from the first data structure in the first source vector register in the destination vector, the alternate data elements that were extracted from the remaining data structures in the first source vector register may be placed next to each other in the destination vector. Subsequently, the alternate data elements that were extracted from the data structures in the second source vector register may be placed next to each other in the destination vector. For example, steps (2), (3), and (4) may be performed once for each of the data structures whose even or odd numbered data elements are to be extracted and included in the destination vector. In one embodiment, for each additional iteration, SEVID execution unit 1912 may extract the even or odd numbered elements from one of the data structures within the two source vector registers and assemble them next to each other for inclusion in the destination vector.

[00189] After assembling the destination vector, execution of the VPGETEVEN or VPGETODD instruction may include, at (5), writing the destination vector to a destination vector register 2103 in extended vector register file 1914 that was identified by a parameter of the VPGETEVEN or VPGETODD instruction, after which the VPGETEVEN or VPGETODD instruction may be retired. For example, a parameter of the VPGETEVEN or VPGETODD instruction may identify an extended vector register ZMMn (2103) as the destination (result) vector register for the VPGETEVEN or VPGETODD instruction, and SIMD execution unit 1912 may store the extracted even or odd numbered data elements from the data structures in the two source vector registers (2101 and 2102) to the identified destination vector register 2103. In one embodiment, writing the destination vector to the destination vector register 2103 may include applying a merging-masking operation to the destination vector, if such a masking operation is specified in the call of the VPGETEVEN or VPGETODD instruction. In another embodiment, writing the destination vector to the destination vector register 2103 may include applying a zero-masking operation to the destination vector, if such a masking operation is specified in the call of the VPGETEVEN or VPGETODD instruction.

[00190] In one embodiment, as data elements for each data structure are extracted from the source vector registers, and assembled next to each other, they may be stored to destination vector register 2103. For example, once the even or odd numbered data elements have been extracted from the first data structure and have been assembled in the destination vector, these extracted data elements may be written to contiguous locations in destination vector register 2103. Subsequently, once the even or odd numbered data elements have been extracted from the second data structure and have been assembled in the destination vector, these additional extracted data elements may be written to contiguous locations in destination vector register 2103, and so on. [00191] In one embodiment, the extended SIMD instruction set architecture may implement multiple versions or forms of an operation to extract alternate data elements from a packed vector register including, for example, those shown below:

VPGETEVEN{size} {kn} {z} (REG, REG, REG)

VPGETODD {size} {kn} {z} (REG, REG, REG)

[00192] In these example forms of the VPGETEVEN and VPGETODD instructions, the first REG parameter may identify the destination vector register for the instruction. In these examples, the second REG parameter may identify the first source vector register for the instruction and the third REG parameter may identify the second source vector register for the instruction. In these examples, the "size" modifier may specify the size and/or type of the data elements in the source vector registers. This may correspond to the size and/or type of the data elements in each data structure represented by the packed data stored in the source vector registers. In one embodiment, the specified size/type may be one of {B/W/D/Q/PS/PD}. In these examples, the optional instruction parameter "k n " may identify a particular one of multiple mask registers. This parameter may be specified when masking is to be applied to the destination (result) vector for the VPGETEVEN or VPGETODD instruction. In embodiments in which masking is to be applied (e.g., if a mask register is specified for the instruction), the optional instruction parameter "z" may indicate whether or not zeroing-masking should be applied. In one embodiment, zero-masking may be applied if this optional parameter is set, and merging-masking may be applied if this optional parameter is not set or if this optional parameter is omitted. In other embodiments (not shown), a VPGETEVEN or VPGETODD instruction may include a parameter indicating the number of lanes or data structures in each of the source vector registers (e.g., 4, 3, or 2).

[00193] FIGURES 22A - 22D illustrate the operation of respective forms of VPGETEVEN and VPGETODD instructions, in accordance with embodiments of the present disclosure. More specifically, FIGURE 22A illustrates the operation of an example VPGETEVEN instruction that extracts the data elements stored in even numbered locations within two source vector registers and stores them in a destination vector register. In this example, packed data stored in a first source vector register ZMMn (2101) includes the data elements for four data structures, each of which includes four 32-bit doublewords. In one embodiment, each of the four data structures may represent a row of an array. In this example, in each data structure (or row) includes an X component, a Y component, a Z component, and a W component. The data elements for each data structure (row) in the array have been loaded into respective 128-bit lanes of the first source vector register (an extended vector register ZMMn

2101) prior to execution of the VPGETEVEN instruction. In this example, the lowest- order 128 bits of the first source vector register 2101, which may be referred to as the first lane of the first source vector register, contain four 32-bit doublewords representing the data elements of the first data structure stored in the source vector registers : XI, Yl, Zl, and Wl . In this example, the next-lowest-order 128 bits of the first source vector register 2101, which may be referred to as the second lane of the first source vector register, contain four 32-bit doublewords representing the data elements of the second data structure stored in the source vector registers: X2, Y2, Z2, and W2. Similarly, the next-lowest-order 128 bits of the first source vector register 2101, which may be referred to as the third lane of the first source vector register, contain four 32- bit doublewords representing the data elements of the third data structure stored in the source vector registers: X3, Y3, Z3, and W3; and the highest-order 128 bits of the first source vector register 2101, which may be referred to as the fourth lane of the first source vector register, contain four 32-bit doublewords representing the data elements of the fourth data structure stored in the source vector registers: X4, Y4, Z4, and W4.

[00194] In this example, packed data stored in a second source vector register ZMMn (2102) also includes the data elements for four data structures, each of which includes four 32-bit doublewords. In one embodiment, each of the four data structures may represent a row of an array. In this example, in each data structure (or row) includes an X component, a Y component, a Z component, and a W component. The data elements for each data structure (row) in the array have been loaded into respective 128-bit lanes of the second source vector register (an extended vector register ZMMn

2102) prior to execution of the VPGETEVEN instruction. In this example, the lowest- order 128 bits of the second source vector register 2102, which may be referred to as the first lane of the second source vector register, contain four 32-bit doublewords representing the data elements of the fifth data structure stored in the source vector registers: X5, Y5, Z5, and W5. In this example, the next-lowest-order 128 bits of the second source vector register 2102, which may be referred to as the second lane of the second source vector register, contain four 32-bit doublewords representing the data elements of the sixth data structure stored in the source vector registers: X6, Y6, Z6, and W6. Similarly, the next-lowest-order 128 bits of the second source vector register 2102, which may be referred to as the third lane of the second source vector register, contain four 32-bit doublewords representing the data elements of the seventh data structure stored in the source vector registers: X7, Y7, Z7, and W7; and the highest- order 128 bits of the second source vector register 2102, which may be referred to as the fourth lane of the second source vector register, contain four 32-bit doublewords representing the data elements of the eighth data structure stored in the source vector registers: X8, Y8, Z8, and W8.

[00195] In one embodiment, a VPGETEVEN instruction may be used to extract alternate data elements from the two source vector registers 2101 and 2102 beginning with location zero. For example, execution of the VPGETEVEN instruction may cause the data elements in even numbered locations within each lane of the two source vector registers (the X components and the Z components of the data structures stored in the two source vector registers) to be extracted from the two source vector registers. Execution of the VPGETEVEN instruction may cause these extracted data elements to be stored to contiguous locations in a destination vector register 2103. In this example, the pairs of data elements extracted from each of the data structures in the source vector registers are stored next to each other in the destination vector register 2103. In one embodiment, if there are additional source vector registers containing data structures having X, Y, Z, and W components, additional VPGETEVEN instructions may be executed to extract the data elements stored in even numbered locations within each pair of the additional source vector registers and to store them in an additional destination vector register.

[00196] FIGURE 22B illustrates the operation of an example VPGETODD instruction that extracts the data elements stored in odd numbered locations within two source vector registers and stores them in a destination vector register. In this example, the source vector registers 2101 and 2102 may be the same source vector registers illustrated in FIGURE 22A. In this example, these source vector registers were preloaded with data elements corresponding to eight four-element data structures, as described above. In one embodiment, a VPGETODD instruction may be used to extract alternate data elements from the two source vector registers 2101 and 2102 beginning with location one. For example, execution of the VPGETODD instruction may cause the data elements in odd numbered locations within each lane of the two source vector registers (the Y components and the W components of the data structures stored in the two source vector registers) to be extracted from the two source vector registers. Execution of the VPGETODD instruction may cause these extracted data elements to be stored to contiguous locations in a destination vector register 2205. In this example, the pairs of data elements extracted from each of the data structures in the source vector registers are stored next to each other in the destination vector register 2205. In one embodiment, if there are additional source vector registers containing data structures having X, Y, Z, and W components, additional VPGETODD instructions may be executed to extract the data elements stored in odd numbered locations within each pair of the additional source vector registers and to store them in an additional destination vector register.

[00197] FIGURE 22C illustrates the operation of an example VPGETEVEN instruction to further reorganize the data elements of four original source vector registers containing data structures having X, Y, Z, and W components. In this example, the source vector registers for the VPGETEVEN instruction are the destination register 2103 for the VPGETEVEN operation illustrated in FIGURE 22 A and a destination register 2202 for a second VPGETEVEN operation to extract data elements from even numbered locations within two additional source vector registers containing data structures having X, Y, Z, and W components. In one embodiment, a VPGETEVEN instruction may be used to extract alternate data elements from these two vector registers 2103 and 2202 beginning with location zero. For example, execution of the VPGETEVEN instruction may cause the data elements in even numbered locations within each lane of vector registers 2103 and 2202 (the X components of the data structures stored in the four original source vector registers) to be extracted from the vector registers 2103 and 2202. Execution of the VPGETEVEN instruction may cause these extracted data elements to be stored to contiguous locations in a destination vector register 2206. In this example, the result of the execution may be that all of the X components extracted from the data structures in the four original source vector registers are stored next to each other in the destination vector register 2206.

[00198] FIGURE 22D illustrates the operation of an example VPGETODD instruction to further reorganize the data elements of four original source vector registers containing data structures having X, Y, Z, and W components. In this example, the source vector registers for the VPGETODD instruction are the destination register 2103 for the VPGETEVEN operation illustrated in FIGURE 22A and a destination register 2202 for a second VPGETEVEN operation to extract data elements from even numbered locations within two additional source vector registers containing data structures having X, Y, Z, and W components. In one embodiment, a VPGETODD instruction may be used to extract alternate data elements from these two vector registers 2103 and 2202 beginning with location one. For example, execution of the VPGETODD instruction may cause the data elements in odd numbered locations within each lane of vector registers 2103 and 2202 (the Z components of the data structures stored in the four original source vector registers) to be extracted from the vector registers 2103 and 2202. Execution of the VPGETODD instruction may cause these extracted data elements to be stored to contiguous locations in a destination vector register 2207. In this example, the result of the execution may be that all of the Z components extracted from the data structures in the four original source vector registers are stored next to each other in the destination vector register 2207.

[00199] In one embodiment, an additional VPGETEVEN instruction may be executed to extract all of the Y components of the data structures stored in the four original source vector registers from the destination vector register 2205 and from another destination register for a second VPGETODD operation to extract data elements from odd numbered locations within two additional source vector registers containing data structures having X, Y, Z, and W components. As a result of the execution of this instruction, these extracted data elements may be stored next to each other in another destination vector register (not shown). Similarly, an additional VPGETODD instruction may be executed to extract all of the W components of the data structures stored in the four original source vector registers from the destination vector register 2205 and from another destination register for a second VPGETODD operation to extract data elements from odd numbered locations within two additional source vector registers containing data structures having X, Y, Z, and W components. As a result of the execution of this instruction, these extracted data elements may be stored next to each other in yet another destination vector register (not shown).

[00200] The forms of the VPGETEVEN and VPGETODD instructions illustrated in FIGURES 22A - 22D are merely examples of the many forms that these instructions can take. In other embodiments, the VPGETEVEN and VPGETODD instructions may take any of a variety of other forms in which different combinations of instruction modifier values and instruction parameter values are included in the instructions or are specified when the VPGETEVEN or VPGETODD instructions are called. For example, an instruction parameter may specify that a masking operation is to be performed on the destination (result) vector. In one embodiment, if zero-masking is specified for a VPGETEVEN or VPGETODD instruction, then for any bit in the specified mask register that is not set, zeros may be stored in the locations in the destination vector register to which data elements corresponding to that mask register bit would otherwise have been stored. In another embodiment, if merging-masking is specified for a VPGETEVEN or VPGETODD instruction, the contents of the locations in the destination vector register to which data elements corresponding to that mask register bit would otherwise have been stored may be preserved.

[00201] FIGURE 23 illustrates an example method 2300 for performing an even or odd vector GET operation, according to embodiments of the present disclosure. Method 2300 may be implemented by any of the elements shown in FIGURES 1-22. Method 2300 may be initiated by any suitable criteria and may initiate operation at any suitable point. In one embodiment, method 2300 may initiate operation at 2305. Method 2300 may include greater or fewer steps than those illustrated. Moreover, method 2300 may execute its steps in an order different than those illustrated below. Method 2300 may terminate at any suitable step. Moreover, method 2300 may repeat operation at any suitable step. Method 2300 may perform any of its steps in parallel with other steps of method 2300, or in parallel with steps of other methods. Furthermore, method 2300 may be executed multiple times to perform multiple even or odd vector GET operations. [00202] At 2305, in one embodiment, an instruction to perform an even or odd vector GET operation may be received and decoded. At 2310, the instruction and one or more parameters of the instruction may be directed to a SIMD execution unit for execution. In some embodiments, the instruction parameters may include identifiers of two source vector registers each containing packed data, an identifier of a destination vector register, an indication of the size of the data elements in each data structure represented by the packed data, an indication of the number of data elements in each data structure represented by the packed data, a parameter identifying a particular mask register, or a parameter specifying a masking type.

[00203] At 2315, a first even or odd numbered element of a data structure may be extracted from the first lane in one of the source vector registers, dependent on whether the operation is an even vector GET operation or an odd vector GET operation. In one embodiment, the encoding (opcode) of the instruction may indicate whether even or odd numbered data elements are to be extracted by the instruction. In one embodiment, the value of a single bit or field in the encoding of the instruction may indicate whether even or odd numbered data elements are to be extracted by the instruction. In another embodiment, the value of a parameter included in the instruction call may indicate whether even or odd numbered data elements are to be extracted by the instruction.

[00204] If (at 2320) it is determined that a destination mask bit set corresponding to the extracted data element is set or that no masking has been specified for the even or odd vector GET operation, then at 2325 the extracted even or odd data element may be stored in the next available location (e.g., the first location) in the identified destination vector register. In one embodiment, there may be a respective bit in the identified mask register for each data element in the source vector registers (e.g., for each data element to be stored in the destination vector register). In another embodiment, there may be a respective bit in the identified mask register for each lane or data structure in the source vector registers. In yet another embodiment, there may be a respective bit in the identified mask register for each lane in the destination vector register. If (at 2320) it is determined that the destination mask bit corresponding to the extracted data element is not set, and if (at 2330) it is determined that zero-masking is specified, then at 2335 zeros may be stored in the location in the destination vector register that would otherwise have stored the extracted data element. If (at 2320) it is determined that the destination mask bit corresponding to the extracted data element is not set, and if (at 2330) it is determined that zero-masking is not specified (for example, if merging- masking is specified or that neither zero-masking nor merging-masking is specified), then at 2340 the values currently stored in the location within the destination vector register that would otherwise have stored the extracted data element may be preserved

[00205] If, at 2350, it is determined that there are more even or odd numbered elements to be extracted from the source vector registers, then, at 2360, the next even or odd numbered data element may be extracted from one of the source vector registers. In this case, at least some of the operations illustrated in 2320 - 2340 may be repeated for the newly extracted data element. In one embodiment, the operations illustrated in 2320 - 2360 may be repeated one or more times in order to extract all of the even or odd elements of the data structures stored in the two source vector registers. Once there are no additional even or odd numbered elements to be extracted from the source vector registers (as determined at 2350), the instruction may be retired at 2370.

[00206] In embodiments of the present disclosure, a sequence that includes multiple VPGETEVEN and VPGETODD instructions may be executed to efficiently extract vectors of data elements of the same type from an array of structures with four strides. A strided operation may, in general, perform a sequence of memory operations to addresses that are separated from each other by a fixed distance. In one example, an array in memory may store multiple data structures of doublewords of the form XYZW. A first sequence of instructions may be executed to preload the data structures into source vector registers prior to executing the VPGETEVEN and VPGETODD instructions. Subsequently, a second sequence of instructions that includes multiple VPGETEVEN and VPGETODD instructions may be executed to extract the data elements of different types into separate vector registers. An example of the first sequence of instructions is illustrated by the example pseudo code below.

VPMOVD zmm5, [mem]

//zmm5= xlylzlwlx2y2z2w2...x4y4z4w4

VPMOVD zmm6, [mem+64]

//zmm6= x5y5z5w5...x8y8z8w8

VPMOVD zmm7, [mem+128]

//zmm7= x9y 9z9w9... x 12y 12z 12w 12 VPMOVD zmm8, [mem+192]

//zmm8= xl3yl3zl3wl3...xl6yl6zl6wl6

[00207] In this example, four vector move instructions are used to pack each of four extended vector registers (ZMM5 - ZMM8) with data elements representing four data structures (a total of sixteen data structures). More specifically, these vector move instructions are used to pack four 32-bit values (an X component, a Y component, a Z component, and a W component) of a respective data structure in the memory into each 128-bit lane in the destination vector registers for the vector move instructions. An example of the second sequence of instructions is illustrated by the example pseudo code below.

VPGETEVEND zmm9, zmm5, zmm6

//zmm9= xlzlx2z2...x8z8

VPGETEVEND zmmlO, zmm7, zmm8

//zmml0= x9z9...xl6zl6

VPGETODDD zmml 1, zmm5, zmm6

//zmml 1= ylwl ...y8w8

VPGETODDD zmml 2, zmm7, zmm8

//zmml2= y9w9...yl6wl6

VPGETEVEND zmml, zmm9, zmmlO

zmml= xl ...xl6

VPGETEVEND zmm2, zmml l, zmml 2

zmm2= yl ...yl6

VPGETODDD zmm3, zmm9, zmmlO

zmm3= zl ...zl6

VPGETODDD zmm4, zmml 1, zmml 2

zmm4= wl ...wl6

[00208] In this example, the VPGETEVEND form of the VPGETEVEN instruction specifies that each data element is a 32-bit quadword. Similarly, the VPGETODDD form of the VPGETODD instruction specifies that each data element is a 32-bit quadword. In this example, once the vector registers ZMM5 - ZMM8 have been packed with the data elements representing the sixteen data structures, they may serve as the source vector registers for the first two VPGETEVEND instructions and the first two VPGETODDD instructions. As a result of the execution of these four instructions, each of the vector registers ZMM9 - ZMM11 may store eight pairs of alternating data elements (e.g., eight pairs of X and Z components or eight pairs of Y and W components) that were extracted from the vector registers ZMM5 - ZMM8. Subsequently, the vector registers ZMM9 - ZMM11 may serve as the source vector registers for the next two VPGETEVE D instructions and the next two VPGETODDD instructions. As a result of the execution of these four instructions, each of the vector registers ZMM1 - ZMM4 may store sixteen data elements of the same type (e.g., sixteen X components, sixteen Y components, sixteen Z components, or sixteen W components, respectively) that were extracted from the vector registers ZMM9 - ZMM11.

[00209] FIGURE 24 illustrates an example method 2400 for utilizing multiple even and odd vector GET operations such as the even and odd vector GET operations illustrated in FIGURE 23 to permute different types of data elements coming from respective different sources, according to embodiments of the present disclosure. More specifically, example method 2400 may utilize multiple even and odd vector GET operations to extract vectors of data elements of the same type from a collection of data structures stored in memory with four strides. In this example method, four source vector registers are preloaded with packed data elements representing sixteen data structures, after which multiple even and odd vector GET instructions are called to extract the data elements of different types and store them in separate destination vector registers. Method 2400 may be implemented by any of the elements shown in FIGURES 1-22. Method 2400 may be initiated by any suitable criteria and may initiate operation at any suitable point. In one embodiment, method 2400 may initiate operation at 2405. Method 2400 may include greater or fewer steps than those illustrated. Moreover, method 2400 may execute its steps in an order different than those illustrated below. Method 2400 may terminate at any suitable step. Moreover, method 2400 may repeat operation at any suitable step. Method 2400 may perform any of its steps in parallel with other steps of method 2400, or in parallel with steps of other methods. Furthermore, method 2400 may be executed multiple times to utilize multiple even and odd vector GET operations to extract vectors of data elements of the same type from collections of data structures stored in memory with four strides. [00210] At 2405, in one embodiment, execution of an instruction stream including multiple extended vector instructions may begin. At 2410, each of four source vector registers may be loaded with multiple four-element data structures. The loading may result in the four data elements of each data structure being placed next to each other in one of the four source vector registers. The four data elements in each data structure may be of different types. In one embodiment, the data elements may be loaded into the source vector registers from memory. In another embodiment, the data elements may be loaded into the source vector registers from general-purpose registers. In yet another embodiment, the data elements may be loaded into the vector register from other vector regi ster s .

[00211] At 2415, in one embodiment, two vector GETEVEN instructions may be executed to extract the first (lowest-order) and third data elements from each of the data structures contained in the four source vector registers and to place the extracted data elements in first and second destination vector registers. At 2420, two vector GETODD instructions may be executed to extract the second and fourth data elements from each of the data structures contained in the four source vector register and to place the extracted data elements in third and fourth destination vector registers.

[00212] At 2425, in one embodiment, a third vector GETEVEN instruction may be executed to extract, from the first and second destination vector registers, the first (lowest-order) data elements of each of the data structures and to place them in a fifth destination vector register. At 2430, a fourth vector GETEVEN instruction may be executed to extract, from the third and fourth destination vector registers, the second data elements of each of the data structures and to place them in a sixth destination vector register.

[00213] At 2435, in one embodiment, a third vector GETODD instruction may be executed to extract, from the first and second destination vector registers, the third data elements of each of the data structures and to place them in a seventh destination vector register. At 2440, a fourth vector GETODD instruction may be executed to extract, from the third and fourth destination vector registers, the fourth data elements of each of the data structures and to place them in an eighth destination vector register. In this example, following the execution of method 2400, each of the fifth, sixth, seventh, and eighth destination vector registers may store data elements extracted from the same position within each of the sixteen data structures represented in the original four source vector registers.

[00214] In other embodiments of the present disclosure, other sequences of even and odd vector GET operations may be executed to extract vectors of data elements of the same type from collections of data structures having a different number of data elements. In other embodiments of the present disclosure, other sequences of even and odd vector GET operations may be executed to extract vectors of data elements of the same type from different numbers of data structures. In still other embodiments of the present disclosure, other sequences of even and odd vector GET operations may be executed to extract vectors of data elements of the same type from collections of data structures having different strides.

[00215] While several examples describe forms of the VPGETEVEN and VPGETODD instructions that operate on packed data elements that are stored in extended vector registers (ZMM registers), in other embodiments, these instructions may operate on packed data elements that are stored in vector registers having fewer than 512 bits. For example, if the source and/or destination vectors for a VPGETEVEN or VPGETODD instruction include 256 bits or fewer, the VPGETEVEN or VPGETODD instruction may operate on a YMM register or an XMM register.

[00216] In several of the examples described above, the data elements of each component type are relatively small (e.g., 32 bits) and there are few enough of them that all of them can be stored in a single ZMM register that is the destination vector register for a VPGETEVEN or VPGETODD instruction. In other embodiments, there may be enough data elements of each component type that (depending on the size of the data elements) they may fill multiple ZMM destination registers. For example, there may be more than 512 bits worth of X values, more than 512 bits worth of Y values, and so on. In one embodiment, the constituent components of a respective subset of the resulting data structures may be packed into each one of multiple ZMM registers by multiple VPGETEVEN or VPGETODD instructions. In other embodiments, there may be few enough data elements of each component type that (depending on the size of the data elements) they may fit into XMM or YMM destination registers. [00217] As illustrated in the examples above, unlike a standard GET instruction that may take data from a source operand and store it to a destination operand unchanged, the VPGETEVEN and VPGETODD operations described herein may be used to extract alternate data elements and to permute the data elements of multiple data structures that are stored together within a source vector register in order to separate out data elements that represent different components of each data structure prior to storing the data to its destination operand. Several examples above describe the use of VPGETEVEN and VPGETODD instructions to extract alternate data elements that represent the constituent components of multiple data structures (such as arrays) in memory. In other embodiments, these operations may, more generally, be used to extract alternate packed data elements from different positions within a source vector register and to permute them dependent on the positions from which they were extracted when storing the contents of the vector register to a destination location, regardless of how (or even whether) the data elements are related to each other.

[00218] Embodiments of the mechanisms disclosed herein may be implemented in hardware, software, firmware, or a combination of such implementation approaches. Embodiments of the disclosure may be implemented as computer programs or program code executing on programmable systems comprising at least one processor, a storage system (including volatile and non-volatile memory and/or storage elements), at least one input device, and at least one output device.

[00219] Program code may be applied to input instructions to perform the functions described herein and generate output information. The output information may be applied to one or more output devices, in known fashion. For purposes of this application, a processing system may include any system that has a processor, such as, for example; a digital signal processor (DSP), a microcontroller, an application specific integrated circuit (ASIC), or a microprocessor.

[00220] The program code may be implemented in a high level procedural or object oriented programming language to communicate with a processing system. The program code may also be implemented in assembly or machine language, if desired. In fact, the mechanisms described herein are not limited in scope to any particular programming language. In any case, the language may be a compiled or interpreted language. [00221] One or more aspects of at least one embodiment may be implemented by representative instructions stored on a machine-readable medium which represents various logic within the processor, which when read by a machine causes the machine to fabricate logic to perform the techniques described herein. Such representations, known as "IP cores" may be stored on a tangible, machine-readable medium and supplied to various customers or manufacturing facilities to load into the fabrication machines that actually make the logic or processor.

[00222] Such machine-readable storage media may include, without limitation, non- transitory, tangible arrangements of articles manufactured or formed by a machine or device, including storage media such as hard disks, any other type of disk including floppy disks, optical disks, compact disk read-only memories (CD-ROMs), compact disk rewritables (CD-RW s), and magneto-optical disks, semiconductor devices such as read-only memories (ROMs), random access memories (RAMs) such as dynamic random access memories (DRAMs), static random access memories (SRAMs), erasable programmable read-only memories (EPROMs), flash memories, electrically erasable programmable read-only memories (EEPROMs), magnetic or optical cards, or any other type of media suitable for storing electronic instructions.

[00223] Accordingly, embodiments of the disclosure may also include non- transitory, tangible machine-readable media containing instructions or containing design data, such as Hardware Description Language (HDL), which defines structures, circuits, apparatuses, processors and/or system features described herein. Such embodiments may also be referred to as program products.

[00224] In some cases, an instruction converter may be used to convert an instruction from a source instruction set to a target instruction set. For example, the instruction converter may translate (e.g., using static binary translation, dynamic binary translation including dynamic compilation), morph, emulate, or otherwise convert an instruction to one or more other instructions to be processed by the core. The instruction converter may be implemented in software, hardware, firmware, or a combination thereof. The instruction converter may be on processor, off processor, or part-on and part-off processor.

[00225] Thus, techniques for performing one or more instructions according to at least one embodiment are disclosed. While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on other embodiments, and that such embodiments not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art upon studying this disclosure. In an area of technology such as this, where growth is fast and further advancements are not easily foreseen, the disclosed embodiments may be readily modifiable in arrangement and detail as facilitated by enabling technological advancements without departing from the principles of the present disclosure or the scope of the accompanying claims.

[00226] Some embodiments of the present disclosure include a processor. In at least some of these embodiments, the processor may include a front end to receive an instruction, a decoder to decode the instruction, a core to execute the instruction, and a retirement unit to retire the instruction. To execute the instruction, the core may include a first source vector register to store data elements of at least two data structures, each of which includes at least two data elements, a first logic to extract, dependent on an encoding for the instruction, data elements from even numbered locations within the first source vector register or data elements from odd numbered locations within the first source vector register, a second logic to place the data elements to be extracted from the first source vector register in contiguous locations in a destination vector, and a third logic to store the destination vector to a destination vector register. In combination with any of the above embodiments, the core may include a second source vector register to store data elements of at least two additional data structures, each of which includes at least two data elements, a fourth logic to extract, dependent on the encoding for the instruction, data elements from even numbered locations within the second source vector register or data elements from odd numbered locations within the second source vector register, and a fifth logic to place the data elements to be extracted from the second source vector register in contiguous locations in the destination vector adjacent to the contiguous locations in the destination vector at which the data elements to be extracted from the first source vector register are to be placed. In any of the above embodiments, the encoding for the instruction may specify that data elements are to be extracted from even numbered locations within the first source vector register, and the first logic may be to extract alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register. In any of the disclosed embodiments, the encoding for the instruction may specify that data elements are to be extracted from odd numbered locations within the first source vector register, and the first logic may be to extract alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register. In any of the above embodiments, at least two of the data elements included in each of the two data structures to be stored in the first source vector register may be data elements of different types. In combination with any of the above embodiments, the core may further include a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register such that, for each of one or more bits in a mask register identified in the instruction that are set, a data element that is to be placed in the destination vector is to be stored to the destination vector register, and for each of one or more bits in the mask register identified in the instruction that are not set, a data element that is to be placed in the destination vector and that would otherwise have been stored to the destination vector register is not to be stored to the destination vector register. In combination with any of the above embodiments, the core may include a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register such that, for each bit that is not set in a mask register identified in the instruction, the masking operation replaces two or more data elements that are to be placed next to each other in the destination vector with zeros. In combination with any of the above embodiments, the core may include a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register such that, for each bit that is not set in a mask register identified in the instruction, the masking operation preserves the current values in the locations in the destination vector register at which two or more data elements that are to be placed next to each other in the destination vector would otherwise have been stored. In combination with any of the above embodiments, the core may include a fourth logic to determine the number of data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the instruction. In combination with any of the above embodiments, the core may include a fourth logic to determine the number of data structures stored within the first source vector register from which to extract data elements dependent on a parameter value specified for the instruction. In combination with any of the above embodiments, the core may include a fourth logic to determine the size of the data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the instruction. In combination with any of the above embodiments, the core may include a fourth logic to extract, from the first source vector register and dependent on an encoding for a second instruction, additional data elements from locations within the first source vector register other than the locations within the first source vector register from which data elements were extracted during execution of the instruction, a fifth logic to place the additional data elements extracted from the first source vector register in contiguous locations in a second destination vector, and a sixth logic to store the second destination vector to a second destination vector register. In combination with any of the above embodiments, the core may include a fourth logic to load the data elements of the two data structures into the first source vector register prior to execution of the instruction. In any of the above embodiments, at least two of the data elements included in each of the two structures loaded into the first source vector register may be data elements of different types. In any of the above embodiments, the core may include a Single Instruction Multiple Data (SEVID) coprocessor to implement execution of the instruction.

[00227] Some embodiments of the present disclosure include a method. In at least some of these embodiments, the method may include, in a processor, receiving a first instruction, decoding the first instruction, executing the first instruction, and retiring the first instruction. Executing the first instruction may include extracting, from a first source vector register and dependent on an encoding for the first instruction, data elements from even numbered locations within the first source vector register or data elements from odd numbered locations within the first source vector register, placing the data elements extracted from the first source vector register in contiguous locations in a first destination vector, and storing the first destination vector to a first destination vector register. In any of the above embodiments, the method may include extracting, from a second source vector register and dependent on the encoding for the first instruction, data elements from even numbered locations within the second source vector register or data elements from odd numbered locations within the second source vector register, and placing the data elements extracted from the second source vector register in contiguous locations in the first destination vector adjacent to the contiguous locations in the first destination vector at which the data elements extracted from the first source vector register are placed. In any of the above embodiments, the encoding for the instruction may specify that data elements are to be extracted from even numbered locations within the first source vector register, and the method may include extracting alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register. In any of the above embodiments, the encoding for the instruction may specify that data elements are to be extracted from odd numbered locations within the first source vector register, and the method may include extracting alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register. In any of the above embodiments, at least two of the data elements included in each of the two data structures to be stored in the first source vector register may be data elements of different types. In combination with any of the above embodiments, the method may include receiving a second instruction, decoding the second instruction, executing the second instruction, and retiring the second instruction. Executing the instruction may include extracting, from the first source vector register and dependent on an encoding for the second instruction, additional data elements from locations within the first source vector register other than the locations within the first source vector register from which data elements were extracted during execution of the first instruction, placing the additional data elements extracted from the first source vector register in contiguous locations in a second destination vector, and storing the second destination vector to a second destination vector register. In combination with any of the above embodiments, the method may include applying, to the first destination vector prior to storing it to the first destination vector register, a masking operation. Applying the masking operation may include, for each of one or more bits in a mask register identified in the first instruction that are set, storing a data element that is placed in the first destination vector to the first destination vector register, and for each of one or more bits in the mask register identified in the first instruction that are not set, refraining from storing to the first destination vector register a data element that is placed in the first destination vector and that would otherwise have been stored to the first destination vector register. In combination with any of the above embodiments, the method may include applying a masking operation to the destination vector when it is stored to the destination vector register such that for each bit that is not set in a mask register identified in the first instruction, the masking operation replaces two or more data elements that are placed next to each other in the destination vector with zeros. In combination with any of the above embodiments, the method may include applying a masking operation to the destination vector when it is stored to the destination vector register such that, for each bit that is not set in a mask register identified in the first instruction, the masking operation preserves the current values in locations in the destination vector register at which two or more data elements that are placed next to each other in the destination vector would otherwise have been written. In combination with any of the above embodiments, the method may include, prior to receiving the first instruction, executing a second instruction, including loading the data elements of the two data structures into the first source vector register. In any of the above embodiments, at least two of the data elements included in each of the two structures loaded into the first source vector register may be data elements of different types. In combination with any of the above embodiments, the method may include determining the number of data elements to be extracted from each of the data structure stored within the first source vector register dependent on a parameter value specified for the first instruction. In combination with any of the above embodiments, the method may include determining the number of data structures within the first source vector register from which to extract data elements dependent on a parameter value specified for the first instruction. In combination with any of the above embodiments, the method may include determining the size of the data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the first instruction. In any of the above embodiments, the processor may include a Single Instruction Multiple Data (SEVID) coprocessor that implements execution of the first instruction.

[00228] In any of the above embodiments, executing the first instruction may include extracting, from the first source vector register and dependent on the encoding for the first instruction, data elements from even numbered locations within the first source vector register, extracting, from a second source vector register and dependent on the encoding for the first instruction, data elements from even numbered locations within the second source vector register, and placing the data elements extracted from the even numbered locations within the second source vector register in contiguous locations in the first destination vector adjacent to the contiguous locations in the first destination vector at which the data elements extracted from the first source vector register are placed. In combination with any of the above embodiments, the method may include executing a second instruction, including extracting, from a third source vector register and dependent on an encoding for the second instruction, data elements from even numbered locations within the third source vector register, placing the data elements extracted from the even numbered locations within the third source vector register in contiguous locations in a second destination vector, extracting, from a fourth source vector register and dependent on the encoding for the second instruction, data elements from even numbered locations within the fourth source vector register, placing the data elements extracted from the even numbered locations within the fourth source vector register in contiguous locations in the second destination vector adjacent to the contiguous locations in the second destination vector at which the data elements extracted from the third source vector register are placed, and storing the second destination vector to a second destination vector register. In combination with any of the above embodiments, the method may include executing a third instruction, including extracting, from the first source vector register and dependent on an encoding for the third instruction, data elements from odd numbered locations within the first source vector register, placing the data elements extracted from the odd numbered locations within the first source vector register in contiguous locations in a third destination vector, extracting, from the second source vector register and dependent on the encoding for the third instruction, data elements from odd numbered locations within the second source vector register, placing the data elements extracted from the odd numbered locations within the second source vector register in contiguous locations in the third destination vector adjacent to the contiguous locations in the third destination vector at which the data elements extracted from the first source vector register are placed, and storing the third destination vector to a third destination vector register. In combination with any of the above embodiments, the method may include executing a fourth instruction, including extracting, from the third source vector register and dependent on an encoding for the fourth instruction, data elements from odd numbered locations within the third source vector register, placing the data elements extracted from the odd numbered locations within the third source vector register in contiguous locations in a fourth destination vector, extracting, from the fourth source vector register and dependent on the encoding for the fourth instruction, data elements from odd numbered locations within the fourth source vector register, placing the data elements extracted from the odd numbered locations within the fourth source vector register in contiguous locations in the fourth destination vector adjacent to the contiguous locations in the fourth destination vector at which the data elements extracted from the third source vector register are placed, and storing the fourth destination vector to a fourth destination vector register. In combination with any of the above embodiments, the method may include executing a fifth instruction, including extracting, from the first destination vector register and dependent on an encoding for the fifth instruction, data elements from even numbered locations within the first destination vector register, placing the data elements extracted from the even numbered locations within the first destination vector register in contiguous locations in a fifth destination vector, extracting, from the second destination vector register and dependent on the encoding for the fifth instruction, data elements from even numbered locations within the second destination vector register, placing the data elements extracted from the even numbered locations within the second destination vector register in contiguous locations in the fifth destination vector adjacent to the contiguous locations in the fifth destination vector at which the data elements extracted from the first destination vector register are placed, and storing the fifth destination vector to a fifth destination vector register. In combination with any of the above embodiments, the method may include executing a sixth instruction, including extracting, from the third destination vector register and dependent on an encoding for the sixth instruction, data elements from even numbered locations within the third destination vector register, placing the data elements extracted from the even numbered locations within the third destination vector register in contiguous locations in a sixth destination vector, extracting, from the fourth destination vector register and dependent on the encoding for the sixth instruction, data elements from even numbered locations within the fourth destination vector register, placing the data elements extracted from the even numbered locations within the fourth destination vector register in contiguous locations in the sixth destination vector adjacent to the contiguous locations in the sixth destination vector at which the data elements extracted from the third destination vector register are placed, and storing the sixth destination vector to a sixth destination vector register. In combination with any of the above embodiments, the method may include executing a seventh instruction, including extracting, from the first destination vector register and dependent on an encoding for the seventh instruction, data elements from even numbered locations within the first destination vector register, placing the data elements extracted from the odd numbered locations within the first destination vector register in contiguous locations in a seventh destination vector, extracting, from the second destination vector register and dependent on the encoding for the seventh instruction, data elements from odd numbered locations within the second destination vector register, placing the data elements extracted from the odd numbered locations within the second destination vector register in contiguous locations in the seventh destination vector adjacent to the contiguous locations in the seventh destination vector at which the data elements extracted from the first destination vector register are placed, and storing the seventh destination vector to a seventh destination vector register. In combination with any of the above embodiments, the method may include executing an eighth instruction, including extracting, from the third destination vector register and dependent on an encoding for the eighth instruction, data elements from odd numbered locations within the third destination vector register, placing the data elements extracted from the odd numbered locations within the third destination vector register in contiguous locations in an eighth destination vector, extracting, from the fourth destination vector register and dependent on the encoding for the eighth instruction, data elements from odd numbered locations within the fourth destination vector register, placing the data elements extracted from the odd numbered locations within the fourth destination vector register in contiguous locations in the eighth destination vector adjacent to the contiguous locations in the eighth destination vector at which the data elements extracted from the third destination vector register are placed, and storing the eighth destination vector to an eighth destination vector register. [00229] Some embodiments of the present disclosure include a system. In at least some of these embodiments, the system may include a front end to receive an instruction, a decoder to decode the instruction, a core to execute the instruction, and a retirement unit to retire the instruction. To execute the instruction, the core may include a front end to receive an instruction, a decoder to decode the instruction, a core to execute the instruction, and a retirement unit to retire the instruction. To execute the instruction, the core may include a first source vector register to store data elements of at least two data structures, each of which includes at least two data elements, a first logic to extract, dependent on an encoding for the instruction, data elements from even numbered locations within the first source vector register or data elements from odd numbered locations within the first source vector register, a second logic to place the data elements to be extracted from the first source vector register in contiguous locations in a destination vector, and a third logic to store the destination vector to a destination vector register. In combination with any of the above embodiments, the core may include a second source vector register to store data elements of at least two additional data structures, each of which includes at least two data elements, a fourth logic to extract, dependent on the encoding for the instruction, data elements from even numbered locations within the second source vector register or data elements from odd numbered locations within the second source vector register, and a fifth logic to place the data elements to be extracted from the second source vector register in contiguous locations in the destination vector adjacent to the contiguous locations in the destination vector at which the data elements to be extracted from the first source vector register are to be placed. In any of the above embodiments, the encoding for the instruction may specify that data elements are to be extracted from even numbered locations within the first source vector register, and the first logic may be to extract alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register. In any of the disclosed embodiments, the encoding for the instruction may specify that data elements are to be extracted from odd numbered locations within the first source vector register, and the first logic may be to extract alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register. In any of the above embodiments, at least two of the data elements included in each of the two data stmctures to be stored in the first source vector register may be data elements of different types. In combination with any of the above embodiments, the core may further include a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register such that, for each of one or more bits in a mask register identified in the instruction that are set, a data element that is to be placed in the destination vector is to be stored to the destination vector register, and for each of one or more bits in the mask register identified in the instruction that are not set, a data element that is to be placed in the destination vector and that would otherwise have been stored to the destination vector register is not to be stored to the destination vector register. In combination with any of the above embodiments, the core may include a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register such that, for each bit that is not set in a mask register identified in the instruction, the masking operation replaces two or more data elements that are to be placed next to each other in the destination vector with zeros. In combination with any of the above embodiments, the core may include a fourth logic to apply a masking operation to the destination vector when it is stored to the destination vector register such that, for each bit that is not set in a mask register identified in the instruction, the masking operation preserves the current values in the locations in the destination vector register at which two or more data elements that are to be placed next to each other in the destination vector would otherwise have been stored. In combination with any of the above embodiments, the core may include a fourth logic to determine the number of data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the instruction. In combination with any of the above embodiments, the core may include a fourth logic to determine the number of data structures stored within the first source vector register from which to extract data elements dependent on a parameter value specified for the instruction. In combination with any of the above embodiments, the core may include a fourth logic to determine the size of the data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the instruction. In combination with any of the above embodiments, the core may include a fourth logic to extract, from the first source vector register and dependent on an encoding for a second instruction, additional data elements from locations within the first source vector register other than the locations within the first source vector register from which data elements were extracted during execution of the instruction, a fifth logic to place the additional data elements extracted from the first source vector register in contiguous locations in a second destination vector, and a sixth logic to store the second destination vector to a second destination vector register. In combination with any of the above embodiments, the core may include a fourth logic to load the data elements of the two data structures into the first source vector register prior to execution of the instruction. In any of the above embodiments, at least two of the data elements included in each of the two structures loaded into the first source vector register may be data elements of different types. In any of the above embodiments, the core may include a Single Instruction Multiple Data (SEVID) coprocessor to implement execution of the instruction. In any of the above embodiments, the system may include a processor. In any of the above embodiments, the system may include a vector register file that includes the source vector register.

[00230] Some embodiments of the present disclosure include a system for executing instructions. In at least some of these embodiments, the system may include means for receiving a first instruction, decoding the first instruction, executing the first instruction, and retiring the first instruction. The means for executing the first instruction may include means for extracting, from a first source vector register and dependent on an encoding for the first instruction, data elements from even numbered locations within the first source vector register or data elements from odd numbered locations within the first source vector register, means for placing the data elements extracted from the first source vector register in contiguous locations in a first destination vector, and means for storing the first destination vector to a first destination vector register. In any of the above embodiments, the system may include means for extracting, from a second source vector register and dependent on the encoding for the first instruction, data elements from even numbered locations within the second source vector register or data elements from odd numbered locations within the second source vector register, and means for placing the data elements extracted from the second source vector register in contiguous locations in the first destination vector adjacent to the contiguous locations in the first destination vector at which the data elements extracted from the first source vector register are placed. In any of the above embodiments, the encoding for the instruction may specify that data elements are to be extracted from even numbered locations within the first source vector register, and the system may include means for extracting alternate data elements from the first source vector register beginning with a data element in location zero of the first source vector register. In any of the above embodiments, the encoding for the instruction may specify that data elements are to be extracted from odd numbered locations within the first source vector register, and the system may include means for extracting alternate data elements from the first source vector register beginning with a data element in location one of the first source vector register. In any of the above embodiments, at least two of the data elements included in each of the two data structures to be stored in the first source vector register may be data elements of different types. In combination with any of the above embodiments, the system may include means for receiving a second instruction, decoding the second instruction, executing the second instruction, and retiring the second instruction. The means for executing the second instruction may include means for extracting, from the first source vector register and dependent on an encoding for the second instruction, additional data elements from locations within the first source vector register other than the locations within the first source vector register from which data elements were extracted during execution of the first instruction, means for placing the additional data elements extracted from the first source vector register in contiguous locations in a second destination vector, and means for storing the second destination vector to a second destination vector register. In combination with any of the above embodiments, the system may include means for applying, to the first destination vector prior to storing it to the first destination vector register, a masking operation. Applying the masking operation may include, for each of one or more bits in a mask register identified in the first instruction that are set, storing a data element that is placed in the first destination vector to the first destination vector register, and for each of one or more bits in the mask register identified in the first instruction that are not set, refraining from storing to the first destination vector register a data element that is placed in the first destination vector and that would otherwise have been stored to the first destination vector register. In combination with any of the above embodiments, the system may include means for applying a masking operation to the destination vector when it is stored to the destination vector register such that for each bit that is not set in a mask register identified in the first instruction, the masking operation replaces two or more data elements that are placed next to each other in the destination vector with zeros. In combination with any of the above embodiments, the system may include means for applying a masking operation to the destination vector when it is stored to the destination vector register such that, for each bit that is not set in a mask register identified in the first instruction, the masking operation preserves the current values in locations in the destination vector register at which two or more data elements that are placed next to each other in the destination vector would otherwise have been written. In combination with any of the above embodiments, the system may include means for executing a second instruction prior to receiving the first instruction. The means for executing the second instruction may include means for loading the data elements of the two data structures into the first source vector register. In any of the above embodiments, at least two of the data elements included in each of the two structures loaded into the first source vector register may be data elements of different types. In combination with any of the above embodiments, the system may include means for determining the number of data elements to be extracted from each of the data structure stored within the first source vector register dependent on a parameter value specified for the first instruction. In combination with any of the above embodiments, the system may include means for determining the number of data structures within the first source vector register from which to extract data elements dependent on a parameter value specified for the first instruction. In combination with any of the above embodiments, the system may include means for determining the size of the data elements to be extracted from each of the data structures stored within the first source vector register dependent on a parameter value specified for the first instruction. In any of the above embodiments, the system may include a Single Instruction Multiple Data (SEVID) coprocessor that implements execution of the first instruction.

[00231] In any of the above embodiments, the means for executing the first instruction may include means for extracting, from the first source vector register and dependent on the encoding for the first instruction, data elements from even numbered locations within the first source vector register, means for extracting, from a second source vector register and dependent on the encoding for the first instruction, data elements from even numbered locations within the second source vector register, and means for placing the data elements extracted from the even numbered locations within the second source vector register in contiguous locations in the first destination vector adjacent to the contiguous locations in the first destination vector at which the data elements extracted from the first source vector register are placed. In combination with any of the above embodiments, the system may include means for executing a second instruction, including means for extracting, from a third source vector register and dependent on an encoding for the second instruction, data elements from even numbered locations within the third source vector register, means for placing the data elements extracted from the even numbered locations within the third source vector register in contiguous locations in a second destination vector, means for extracting, from a fourth source vector register and dependent on the encoding for the second instruction, data elements from even numbered locations within the fourth source vector register, means for placing the data elements extracted from the even numbered locations within the fourth source vector register in contiguous locations in the second destination vector adjacent to the contiguous locations in the second destination vector at which the data elements extracted from the third source vector register are placed, and means for storing the second destination vector to a second destination vector register. In combination with any of the above embodiments, the system may include means for executing a third instruction, including means for extracting, from the first source vector register and dependent on an encoding for the third instruction, data elements from odd numbered locations within the first source vector register, means for placing the data elements extracted from the odd numbered locations within the first source vector register in contiguous locations in a third destination vector, means for extracting, from the second source vector register and dependent on the encoding for the third instruction, data elements from odd numbered locations within the second source vector register, means for placing the data elements extracted from the odd numbered locations within the second source vector register in contiguous locations in the third destination vector adjacent to the contiguous locations in the third destination vector at which the data elements extracted from the first source vector register are placed, and means for storing the third destination vector to a third destination vector register. In combination with any of the above embodiments, the system may include means for executing a fourth instruction, including means for extracting, from the third source vector register and dependent on an encoding for the fourth instruction, data elements from odd numbered locations within the third source vector register, means for placing the data elements extracted from the odd numbered locations within the third source vector register in contiguous locations in a fourth destination vector, means for extracting, from the fourth source vector register and dependent on the encoding for the fourth instruction, data elements from odd numbered locations within the fourth source vector register, means for placing the data elements extracted from the odd numbered locations within the fourth source vector register in contiguous locations in the fourth destination vector adjacent to the contiguous locations in the fourth destination vector at which the data elements extracted from the third source vector register are placed, and means for storing the fourth destination vector to a fourth destination vector register. In combination with any of the above embodiments, the system may include means for executing a fifth instruction, including means for extracting, from the first destination vector register and dependent on an encoding for the fifth instruction, data elements from even numbered locations within the first destination vector register, means for placing the data elements extracted from the even numbered locations within the first destination vector register in contiguous locations in a fifth destination vector, means for extracting, from the second destination vector register and dependent on the encoding for the fifth instruction, data elements from even numbered locations within the second destination vector register, means for placing the data elements extracted from the even numbered locations within the second destination vector register in contiguous locations in the fifth destination vector adjacent to the contiguous locations in the fifth destination vector at which the data elements extracted from the first destination vector register are placed, and means for storing the fifth destination vector to a fifth destination vector register. In combination with any of the above embodiments, the system may include means for executing a sixth instruction, including means for extracting, from the third destination vector register and dependent on an encoding for the sixth instruction, data elements from even numbered locations within the third destination vector register, means for placing the data elements extracted from the even numbered locations within the third destination vector register in contiguous locations in a sixth destination vector, means for extracting, from the fourth destination vector register and dependent on the encoding for the sixth instruction, data elements from even numbered locations within the fourth destination vector register, means for placing the data elements extracted from the even numbered locations within the fourth destination vector register in contiguous locations in the sixth destination vector adjacent to the contiguous locations in the sixth destination vector at which the data elements extracted from the third destination vector register are placed, and means for storing the sixth destination vector to a sixth destination vector register. In combination with any of the above embodiments, the system may include means for executing a seventh instruction, including means for extracting, from the first destination vector register and dependent on an encoding for the seventh instruction, data elements from even numbered locations within the first destination vector register, means for placing the data elements extracted from the odd numbered locations within the first destination vector register in contiguous locations in a seventh destination vector, means for extracting, from the second destination vector register and dependent on the encoding for the seventh instruction, data elements from odd numbered locations within the second destination vector register, means for placing the data elements extracted from the odd numbered locations within the second destination vector register in contiguous locations in the seventh destination vector adjacent to the contiguous locations in the seventh destination vector at which the data elements extracted from the first destination vector register are placed, and means for storing the seventh destination vector to a seventh destination vector register. In combination with any of the above embodiments, the system may include means for executing an eighth instruction, including means for extracting, from the third destination vector register and dependent on an encoding for the eighth instruction, data elements from odd numbered locations within the third destination vector register, means for placing the data elements extracted from the odd numbered locations within the third destination vector register in contiguous locations in an eighth destination vector, means for extracting, from the fourth destination vector register and dependent on the encoding for the eighth instruction, data elements from odd numbered locations within the fourth destination vector register, means for placing the data elements extracted from the odd numbered locations within the fourth destination vector register in contiguous locations in the eighth destination vector adjacent to the contiguous locations in the eighth destination vector at which the data elements extracted from the third destination vector register are placed, and means for storing the eighth destination vector to an eighth destination vector register.