Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
INTEGRATED CIRCUIT MARGIN MEASUREMENT AND FAILURE PREDICTION DEVICE
Document Type and Number:
WIPO Patent Application WO/2019/097516
Kind Code:
A1
Abstract:
A semiconductor integrated circuit (IC) comprising a signal path combiner, comprising a plurality of input paths and an output path. The IC comprises a delay circuit having an input electrically connected to the output path, the delay circuit delaying an input signal by a variable delay time to output a delayed signal path. The IC may comprise a first storage circuit electrically connected to the output path and a second storage circuit electrically connected to the delayed signal path. The IC comprises a comparison circuit that compares outputs of the signal path combiner and the delayed signal, wherein the comparison circuit comprises a comparison output provided in a comparison data signal to at least one mitigation circuit.

Inventors:
LANDMAN EVELYN (IL)
COHEN SHAI (IL)
DAVID YAHEL (IL)
FAYNEH EYAL (IL)
WEINTROB INBAR (IL)
Application Number:
PCT/IL2018/051234
Publication Date:
May 23, 2019
Filing Date:
November 15, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
PROTEANTECS LTD (IL)
International Classes:
G01R31/3193; G01R31/28
Foreign References:
US20100251046A12010-09-30
US20080186044A12008-08-07
US20150061707A12015-03-05
US9760672B12017-09-12
US9564884B12017-02-07
US9536038B12017-01-03
US9564883B12017-02-07
US201862675986P2018-05-24
US20210173007A12021-06-10
Other References:
LAUBE ET AL.: "Lecture Notes in Computer Science", vol. 2478, 2002, SPRINGER, article "Analyzing Relative Motion within Groups of Trackable Moving Point Objects", pages: 132 - 144
See also references of EP 3710844A4
Attorney, Agent or Firm:
GASSNER, Dvir et al. (IL)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A semiconductor integrated circuit (IC) comprising:

a signal path combiner, comprising a plurality of input paths and an output, the output being based on a combination of respective signals received on each of the input paths;

a delay circuit having an input electrically connected to the signal path combiner output, the delay circuit delaying an input signal by a variable delay time to output a delayed signal; and

a comparison circuit arranged to provide a comparison output based on a comparison of the signal path combiner output and the delayed signal, wherein the comparison output is provided in a comparison data signal to at least one mitigation circuit.

2. The IC of claim 1, wherein the mitigation circuit is at least one circuit from the group consisting of:

(i) a notification circuit;

(ii) a timing delay measurement circuit;

(iii) a data transmission circuit;

(iv) an IC anti-aging compensation circuit; and

(v) a failure analysis circuit.

3. The IC of claim 1 or claim 2, wherein the signal path combiner is at least one from the group consisting of a logical XOR combiner, a Hamming parity combiner, and multiplexer.

4. The IC of any one of claims 1 to 3, further comprising:

a first internal storage circuit, electrically connected to the signal path combiner output and arranged to provide the stored signal path combiner output as a first input to the comparison circuit; and

a second internal storage circuit, electrically connected to the delayed signal and arranged to provide the delayed signal as a second input to the comparison circuit.

5. The IC of any one of claims 1 to 4, wherein the signal path combiner is a first signal path combiner arranged to receive a plurality of signals from a first data source and wherein the comparison circuit is a first comparison circuit, the IC further comprising:

a second signal path combiner, comprising a plurality of input paths and an output, the second signal path combiner output being based on a combination of respective signals received on each of the input paths, the signals being received from a second data source;

a multiplexer, configured to receive the first signal path combiner output, the second signal path combiner output and a selection signal and to selectively output the first signal path combiner output or the second signal path combiner output based on the selection signal, the output of the multiplexer being provided as the input to the delay circuit;

a second comparison circuit arranged to provide a second comparison output based on a comparison of the second signal path combiner output and the delayed signal; and

an OR gate arranged to receive as inputs the first comparison output and the second comparison output and to provide an output as the comparison data signal to the at least one mitigation circuit.

6. The IC of claim 5, further comprising:

a first comparison storage circuit, arranged to receive the first comparison output and controlled by a first clock signal;

a second comparison storage circuit, arranged to receive the second

comparison output and controlled by a second clock signal; and

wherein the first comparison storage circuit is arranged to provide the first comparison output as a first input to the OR gate and the second comparison storage circuit is arranged to provide the second comparison output as a second input to the OR gate.

7. The IC of any one of claims 1 to 4, wherein the variable delay time is set in an integer multiple of increments equal to a clock period of the IC divided by a signature vector size, and wherein the signature vector size is between 1 and 100,000.

8. The IC of any one of claims 1 to 7, wherein the mitigation circuit is a data transmission circuit electrically connected to a computerized server, wherein the computerized server is configured to receive multiple instances of the comparison data signal, perform a failure prediction analysis of the comparison data signals, and send a notification to a mitigation module when the failure prediction analysis predicts failure of the IC within a predefined time.

9. The IC of claim 8, wherein at least some of the comparison data signals are generated at multiple values of the variable delay time.

10. The IC of claim 9, wherein at least some of the comparison data signals are generated from multiple instances of at least one value from multiple values of the variable delay time.

11. The IC of any one of claims 8 to 10, wherein the failure prediction analysis comprises at least one of a machine learning analysis, a trend analysis, a multiple object tracking analysis, and a multivariant analysis.

12. The IC of any one of claims 8 to 11, wherein the failure prediction analysis comprises receiving comparison data signals from multiple different ICs.

13. The IC of any one of claims 8 to 12, wherein the failure prediction analysis comprises receiving failure prediction analysis results from multiple different ICs.

14. The IC of any one of claims 1 to 13, wherein each of the plurality of input paths of the signal path combiner is configured to receive one of: a signal from a respective data source in the IC; a signal from a memory circuit; and a signal from one of a plurality of logic circuits grouped by a clock enable.

15. A method for using a semiconductor integrated circuit (IC), the method comprising:

combining respective signals received on each of a plurality of input paths at a signal path combiner to provide an output;

delaying the signal path combiner output by a variable delay time at a delay circuit to output a delayed signal; and comparing the signal path combiner output and the delayed signal to provide a comparison output and providing the comparison output in a comparison data signal to at least one mitigation circuit.

16. The method of claim 15, wherein the mitigation circuit is at least one circuit from the group consisting of:

(i) a notification circuit;

(ii) a timing delay measurement circuit;

(iii) a data transmission circuit;

(iv) an IC anti-aging compensation circuit; and

(v) a failure analysis circuit.

17. The method of claim 15 or claim 16, wherein the signal path combiner is at least one from the group consisting of a logical XOR combiner, a Hamming parity combiner, and multiplexer.

18. The method of any one of claims 15 to 17, further comprising:

storing the signal path combiner output at a first internal storage circuit and providing the stored signal path combiner output from the first internal storage circuit as a first input to the comparison circuit; and

storing the delayed signal at a second internal storage circuit and providing the delayed signal from the second internal storage circuit as a second input to the comparison circuit.

19. The method of any one of claims 15 to 18, wherein the signal path combiner is a first signal path combiner that receives a plurality of signals from a first data source and wherein the comparison circuit is a first comparison circuit, the method further comprising:

combining respective signals received on each of a plurality of input paths at a second signal path combiner to provide an output, the signals being received from a second data source;

receiving the first signal path combiner output, the second signal path combiner output and a selection signal at a multiplexer and selectively outputting the first signal path combiner output or the second signal path combiner output based on the selection signal, the output of the multiplexer being provided as the input to the delay circuit such that the step of delaying comprises delaying the first signal path combiner output or the second signal path combiner output by the variable delay time at the delay circuit to output the delayed signal;

comparing the second signal path combiner output and the delayed signal to provide a second comparison output; and

receiving at an OR gate, the first comparison output and the second comparison output as inputs and outputting the comparison data signal as an output from the OR gate to the at least one mitigation circuit.

20. The method of claim 19, further comprising:

receiving the first comparison output at a first comparison storage circuit controlled by a first clock signal;

receiving the second comparison output at a second comparison storage circuit controlled by a second clock signal;

providing the first comparison output from the first comparison storage circuit as a first input to the OR gate; and

providing the second comparison output from the second comparison storage circuit as a second input to the OR gate.

21. The method of any one of claims 15 or claim 20, wherein the variable delay time is set in an integer multiple of increments equal to a clock period of the IC divided by a factor from 1 to 100,000.

22. The method of any one of claims 15 to 21, wherein the mitigation circuit is a data transmission circuit electrically connected to a computerized server, the method further comprising: receiving multiple instances of the comparison data signal at the computerized server; performing a failure prediction analysis of the comparison data signals at the computerized server; sending a notification from the computerized server to a mitigation module when the failure prediction analysis predicts failure of the IC within a predefined time.

23. The method of claim 22, wherein at least some of the comparison data signals are generated at multiple values of the variable delay time.

24. The method of claim 23, wherein at least some of the comparison data signals are generated from multiple instances of at least one value from multiple values of the variable delay time.

25. The method of any one of claims 22 to 24, wherein the failure prediction analysis comprises at least one of a machine learning analysis, a trend analysis, a multiple object tracking analysis, and a multivariant analysis.

26. The method of any one of claims 22 to 25, wherein the failure prediction analysis comprises receiving comparison data signals from multiple different ICs.

27. The method of any one of claims 22 to 26, wherein the failure prediction analysis comprises receiving failure prediction analysis results from multiple different ICs.

28. The method of any one of claims 15 to 27, wherein each of the plurality of input paths of the signal path combiner is configured to receive one of: a signal from a respective data source in the IC; a signal from a memory circuit; and a signal from one of a plurality of logic circuits grouped by a clock enable.

29. The method of any one of claims 15 to 28, wherein the method is performed at an initial operation of the IC, the method further comprising:

measuring a timing delay for the IC, based on the comparison data signal provided to the mitigation circuit.

30. The method of any one of claims 15 to 29, further comprising:

repeating the steps of combining, delaying and comparing for each of a plurality of delay times, in order to provide a plurality of comparison outputs; and

determining an identifying characteristic for the IC based on the plurality of comparison outputs.

31. The method of claim 30, further comprising:

tracking changes in the identifying characteristic for the IC over time.

Description:
INTEGRATED CIRCUIT MARGIN MEASUREMENT AND FAILURE

PREDICTION DEVICE

CROSS REFERENCE TO RELATED APPLICATIONS

[0001] This application claims the benefit of priority of U.S. Provisional Patent Application No. 62/586,423, filed November 15, 2017, the contents of which are all incorporated herein by reference in their entirety.

FIELD OF THE INVENTION

[0002] The invention relates to the field of integrated circuits.

BACKGROUND

[0003] Integrated circuits (ICs) may include analog and digital electronic circuits on a flat semiconductor substrate, such as a silicon wafer. Microscopic transistors are printed onto the substrate using photolithography techniques to produce complex circuits of billions of transistors in a very small area, making modem electronic circuit design using ICs both low cost and high performance. ICs are produced in assembly lines of factories, termed foundries, that have commoditized the production of ICs, such as complementary metal-oxide-semiconductor (CMOS) ICs. Digital ICs contain billions of transistors arranged in functional and/or logical units on the wafer, with data-paths interconnecting the functional units that transfer data values between the functional units. As used herein, the term“data-path” means a parallel series of electronic connections, or paths, for transferring data signals between functional/logical units of an IC, and each data-path may include a specific number of bit paths, such as 64, 128, 256, ort the like. During the IC design process, the timing of the functional units is arranged so that each functional unit may usually complete the required processing of that unit within a single clock cycle. A safety factor may be used to account for manufacturing differences of individual ICs and possible changes, such as degradations, over the planned lifetime of the IC.

[0004] The degrading of an IC's transistors over time is termed aging. For example, the degradation of transistors over time leads slowly to decreased switching speeds, and may even result in outright circuit failures, when they exceed the design safety factors. Usually, the design process incorporates these delays into the design such that the ICs will not fail during their normal lifetime, but environmental and usage conditions (such as heat, voltage, current, humidity, and/or the like) may accelerate the aging process. [0005] IC transistors, such as bipolar transistors, metal-oxide semiconductor field-effect transistors (MOSFETs), and/or the like, may be used in digital ICs and may function as electrical switches. For example, a MOSFET may have four terminals, such as the body, the gate, the source, and the drain, yet typically the source and body are electrically connected. The voltage applied to the gate may determine the amount of current that flows between the source and drain. A thin layer of dielectric material electrically insulates the gate, and the electric field applied across the gate may alter the conductivity of the underlying semiconductor channel between the source and drain.

[0006] With use, charge carriers (such as electrons for negative, or n-channel, MOSFETs, or holes for positive, or p-channel, MOSFETs) that have more energy than the average charge carrier may stray out of the conductive channel between the source and drain, and become trapped in the insulating dielectric. This process, termed hot- carrier injection (HCI), may eventually build up electric charge within the dielectric layer, and thus increase the voltage needed to operate the transistor. As the threshold voltage increases, the transistor switching delay may become larger.

[0007] Another aging mechanism occurs when a voltage is applied to the gate, a phenomenon termed bias temperature instability (BTI). BTI may cause a buildup of charge in the dielectric, among other issues, though, some of this effect spontaneously disappears after that gate voltage is removed. This recovery occurs within a few microseconds, making it difficult to observe when a transistor is stressed and then the resulting effects are measured only after the stress is removed.

[0008] Another aging mechanism comes into play when a voltage applied to the gate may create electrically active defects, known as traps, within the dielectric. When traps become too numerous, these charge traps may join and form an outright short circuit between the gate and the current channel. This kind of failure is termed oxide breakdown, or time-dependent dielectric breakdown. Unlike the other aging mechanisms, which cause a gradual decline in performance, the breakdown of the dielectric may lead to a catastrophic failure of the transistor, causing the IC to malfunction.

[0009] Additionally, a phenomenon called electromigration may damage the copper or aluminum connections that tie transistors together or link them to the outside world. Electromigration may occur when a surge of current knocks metal atoms loose from the electrical connections, and may cause them to flow with the electrons. This depletes the metal of some atoms upstream, while causing a buildup of metal downstream. The upstream thinning of the metal increases the electrical resistance of the connection, sometimes becoming an open circuit. The downstream deposition may cause the metal to bulge out of its designated track.

[0010] Another reliability related issue in ICs is a phenomenon called stress migration. This is used to describe the flow of metal atoms under the influence of mechanical stress.

[0011] Additionally, any defect, such as un-modeled phenomenon, random manufacturing defects, and/or the like, may cause a timing degradation of a signal path over time. Some defects may not appear during testing, verification, initial operation, and/or the like, for example, the die/IC/product may pass all the screening procedures at the testing stage. For example, a via that includes a manufacturing defects, such as less that complete metal coverage, will increase its resistance over time and at some point, causes a timing failure of a logic path. For example, random manufacturing defects may appear anywhere on the IC, and incorporate a large variety of types and levels of defects, so designs may not be able to incorporate safety factors to mitigate these defects. On the other hand, aspects of embodiments of the disclosed techniques may be able to predict the failure of each individual IC based on fingerprint sampling at appropriate IC pathways, and mitigate the failure by preemptive replacement, corrective and preventative actions, notifications to users, compensations within the IC to increase time lifetime, and/or the like.

[0012] The foregoing examples of the related art and limitations related therewith are intended to be illustrative and not exclusive. Other limitations of the related art will become apparent to those of skill in the art upon a reading of the specification and a study of the figures.

SUMMARY

[0013] The following embodiments and aspects thereof are described and illustrated in conjunction with systems, tools and methods which are meant to be exemplary and illustrative, not limiting in scope.

[0014] There is provided, in accordance with an embodiment, a semiconductor integrated circuit (IC) in accordance with the claims. This may comprise a signal path combiner, comprising a plurality of input paths and an output path. The IC comprises a delay circuit having an input electrically connected to the output path, the delay circuit delaying an input signal by a variable delay time to output a delayed signal path. The IC may comprise a first storage circuit electrically connected to the output path and a second storage circuit electrically connected to the delayed signal path. The IC comprises a comparison circuit that compares outputs of the first and second storage circuits, wherein the comparison circuit comprises a second output path electrically connected to one or more mitigation circuit.

[0015] In some embodiments, the mitigation circuit is one or more circuit from the group consisting of: a notification circuit; a timing delay measurement (or estimation) circuit; a data transmission circuit; an IC anti-aging compensation circuit; and a failure analysis circuit.

[0016] In some embodiments, the signal path combiner is one or more from the group consisting of a logical XOR combiner, a Hamming parity combiner, and multiplexer.

[0017] In some embodiments, the variable delay time is set in an integer multiple of increments equal to a clock period of the IC divided by a signature vector size, and wherein the signature vector size is between 1 and 100,000.

[0018] In some embodiments, the mitigation circuit is a data transmission circuit electrically connected to a computerized server, wherein the computerized server is configured to receive multiple instances of the comparison data signal, perform a failure prediction analysis of the comparison data signals, and send a notification a mitigation module when the failure prediction analysis predicts failure of the IC within a predefined time.

[0019] In some embodiments, at least some of the comparison data signals are generated at multiple values of the variable delay time.

[0020] In some embodiments, at least some of the comparison data signals are generated from multiple instances of one or more value from multiple values of the variable delay time.

[0021] In some embodiments, the failure prediction analysis comprises one or more of a machine learning analysis, a trend analysis, a multiple object tracking analysis, and a multivariant analysis.

[0022] In some embodiments, the failure prediction analysis comprises receiving comparison data signals from multiple different ICs.

[0023] In some embodiments, the failure prediction analysis comprises receiving failure prediction analysis results from multiple different ICs.

[0024] In a further aspect, which may be combinable with any embodiment described herein, there is provided a semiconductor integrated circuit (IC) comprising: a signal path combiner, comprising a plurality of input paths and an output, the output being based on a combination of respective signals received on each of the input paths; a delay circuit having an input electrically connected to the signal path combiner output, the delay circuit delaying an input signal by a variable delay time to output a delayed signal; and a comparison circuit arranged to provide a comparison output based on a comparison of the signal path combiner output and the delayed signal, wherein the comparison output is provided in a comparison data signal to at least one mitigation circuit.

[0025] In some embodiments, the mitigation circuit is at least one circuit from the group consisting of: a notification circuit; a timing delay measurement circuit; a data transmission circuit; an IC anti-aging compensation circuit; and a failure analysis circuit.

[0026] Optionally, the signal path combiner is at least one from the group consisting of a logical XOR combiner, a Hamming parity combiner, and multiplexer.

[0027] In an embodiment, the IC further comprises: a first internal storage circuit, electrically connected to the signal path combiner output and arranged to provide the stored signal path combiner output as a first input to the comparison circuit; and a second internal storage circuit, electrically connected to the delayed signal and arranged to provide the delayed signal as a second input to the comparison circuit.

[0028] In certain embodiments, the signal path combiner is a first signal path combiner arranged to receive a plurality of signals from a first data source and the comparison circuit is a first comparison circuit. Then, the IC may further comprise: a second signal path combiner, comprising a plurality of input paths and an output, the second signal path combiner output being based on a combination of respective signals received on each of the input paths, the signals being received from a second data source; a multiplexer, configured to receive the first signal path combiner output, the second signal path combiner output and a selection signal and to selectively output the first signal path combiner output or the second signal path combiner output based on the selection signal, the output of the multiplexer being provided as the input to the delay circuit; a second comparison circuit arranged to provide a second comparison output based on a comparison of the second signal path combiner output and the delayed signal; and an OR gate arranged to receive as inputs the first comparison output and the second comparison output and to provide an output as the comparison data signal to the at least one mitigation circuit.

[0029] The IC optionally, further comprises: a first comparison storage circuit, arranged to receive the first comparison output and controlled by a first clock signal; a second comparison storage circuit, arranged to receive the second comparison output and controlled by a second clock signal; and wherein the first comparison storage circuit is arranged to provide the first comparison output as a first input to the OR gate and the second comparison storage circuit is arranged to provide the second comparison output as a second input to the OR gate.

[0030] In some embodiments the variable delay time is set in an integer multiple of increments equal to a clock period of the IC divided by a signature vector size, and wherein the signature vector size is between 1 and 100,000.

[0031] In embodiments, the mitigation circuit is a data transmission circuit electrically connected to a computerized server, wherein the computerized server is configured to receive multiple instances of the comparison data signal, perform a failure prediction analysis of the comparison data signals, and send a notification to a mitigation module when the failure prediction analysis predicts failure of the IC within a predefined time. Optionally, at least some of the comparison data signals are generated at multiple values of the variable delay time. Preferably, at least some of the comparison data signals are generated from multiple instances of at least one value from multiple values of the variable delay time.

[0032] In certain embodiments, the failure prediction analysis comprises at least one of a machine learning analysis, a trend analysis, a multiple object tracking analysis, and a multivariant analysis.

[0033] In embodiments, the failure prediction analysis comprises receiving comparison data signals from multiple different ICs.

[0034] In some embodiments, the failure prediction analysis comprises receiving failure prediction analysis results from multiple different ICs.

[0035] In some embodiments, each of the plurality of input paths of the signal path combiner is configured to receive one of: a signal from a respective data source in the IC; a signal from a memory circuit; and a signal from one of a plurality of logic circuits grouped by a clock enable.

[0036] In another aspect (combinable with any other embodiment described herein), there may be considered a method for using a semiconductor integrated circuit (IC), the method comprising: combining respective signals received on each of a plurality of input paths at a signal path combiner to provide an output; delaying the signal path combiner output by a variable delay time at a delay circuit to output a delayed signal; and comparing the signal path combiner output and the delayed signal to provide a comparison output and providing the comparison output in a comparison data signal to at least one mitigation circuit.

[0037] In some embodiments, the mitigation circuit is at least one circuit from the group consisting of: a notification circuit; a timing delay measurement circuit; a data transmission circuit; an IC anti-aging compensation circuit; and a failure analysis circuit.

[0038] In embodiments, the signal path combiner is at least one from the group consisting of a logical XOR combiner, a Hamming parity combiner, and multiplexer.

[0039] Optionally, the method further comprises:storing the signal path combiner output at a first internal storage circuit and providing the stored signal path combiner output from the first internal storage circuit as a first input to the comparison circuit; and storing the delayed signal at a second internal storage circuit and providing the delayed signal from the second internal storage circuit as a second input to the comparison circuit.

[0040] In certain embodiments, the signal path combiner is a first signal path combiner that receives a plurality of signals from a first data source and wherein the comparison circuit is a first comparison circuit. Then, the method may further comprise: combining respective signals received on each of a plurality of input paths at a second signal path combiner to provide an output, the signals being received from a second data source; receiving the first signal path combiner output, the second signal path combiner output and a selection signal at a multiplexer and selectively outputting the first signal path combiner output or the second signal path combiner output based on the selection signal, the output of the multiplexer being provided as the input to the delay circuit such that the step of delaying comprises delaying the first signal path combiner output or the second signal path combiner output by the variable delay time at the delay circuit to output the delayed signal; comparing the second signal path combiner output and the delayed signal to provide a second comparison output; and receiving at an OR gate, the first comparison output and the second comparison output as inputs and outputting the comparison data signal as an output from the OR gate to the at least one mitigation circuit.

[0041] In some embodiments, the method further comprises: receiving the first comparison output at a first comparison storage circuit controlled by a first clock signal; receiving the second comparison output at a second comparison storage circuit controlled by a second clock signal; providing the first comparison output from the first comparison storage circuit as a first input to the OR gate; and providing the second comparison output from the second comparison storage circuit as a second input to the OR gate.

[0042] In embodiments, the variable delay time is set in an integer multiple of increments equal to a clock period of the IC divided by a factor from 1 to 100,000.

[0043] In some embodiments, the mitigation circuit is a data transmission circuit electrically connected to a computerized server, the method further comprising: receiving multiple instances of the comparison data signal at the computerized server; performing a failure prediction analysis of the comparison data signals at the computerized server; sending a notification from the computerized server to a mitigation module when the failure prediction analysis predicts failure of the IC within a predefined time. Optionally, at least some of the comparison data signals are generated at multiple values of the variable delay time. Preferably, at least some of the comparison data signals are generated from multiple instances of at least one value from multiple values of the variable delay time.

[0044] In some embodiments, the failure prediction analysis comprises at least one of a machine learning analysis, a trend analysis, a multiple object tracking analysis, and a multivariant analysis.

[0045] In certain embodiments, the failure prediction analysis comprises receiving comparison data signals from multiple different ICs.

[0046] In embodiments, the failure prediction analysis comprises receiving failure prediction analysis results from multiple different ICs.

[0047] Optionally, each of the plurality of input paths of the signal path combiner is configured to receive one of: a signal from a respective data source in the IC; a signal from a memory circuit; and a signal from one of a plurality of logic circuits grouped by a clock enable.

[0048] In embodiments, the method is performed at an initial operation of the IC. Then, the method may further comprise: measuring a timing delay for the IC, based on the comparison data signal provided to the mitigation circuit.

[0049] In some embodiments, the method further comprises: repeating the steps of combining, delaying and comparing for each of a plurality of delay times, in order to provide a plurality of comparison outputs; and determining an identifying characteristic for the IC based on the plurality of comparison outputs. Optionally, the method further comprises: tracking changes in the identifying characteristic for the IC over time. [0050] In addition to the exemplary aspects and embodiments described above, further aspects and embodiments will become apparent by reference to the figures and by study of the following detailed description.

BRIEF DESCRIPTION OF THE FIGURES

[0051] Exemplary embodiments are illustrated in referenced figures. Dimensions of components and features shown in the figures are generally chosen for convenience and clarity of presentation and are not necessarily shown to scale. The figures are listed below.

[0052] FIG. 1 shows schematically a computerized system for IC margin measurement and failure prediction;

[0053] FIG. 2 shows flowcharts of methods for IC margin measurement and failure prediction;

[0054] FIG. 3, FIG 3A and FIG. 3B show respective XOR-based circuit diagrams for IC margin measurement and failure prediction;

[0055] FIG. 4 shows a MUX-based circuit diagram for IC margin measurement and failure prediction;

[0056] FIG. 5 shows a circuit diagram for anti-IC aging mode;

[0057] FIG. 6 shows a timing diagram of a signal delay for IC margin measurement and failure prediction;

[0058] FIG. 7 shows a graph of errors versus cycle time for a first experiment;

[0059] FIG. 8 shows a graph of errors versus cycle time for a second experiment;

[0060] FIG. 9 shows a timing diagram of two signal delays for IC margin measurement and failure prediction;

[0061] FIG. 10 shows a graph of errors versus cycle time for a third experiment; and [0062] FIG. 11 shows a Margin-Map diagram of a unit.

DETAIUED DESCRIPTION

[0063] Disclosed herein are methods and devices for determining and predicting a future failure of the individual integrated circuit. Also disclosed is a timing delay margin measurement circuit for an IC, from its first operation and/or over time (for example, during any time period from or subsequent to its first operation). A dedicated circuit (which may be detector), such as a failure prediction circuit (FPC) or a margin measurement and failure prediction circuit (MFPC), is placed at selected points along one or more data-paths in a digital integrated circuit (such as one or more FPC or MFPC per data-path), where each dedicated circuit combines multiple individual data paths into a fewer number of test paths. By splitting each test signal into two, and applying a delay circuit to one of the split signal paths, a fingerprint or signature of the delays of each path of the data-path may be acquired during each clock cycle of the functional unit. As used herein, the term“fingerprint” and/or“signature” mean the profile of signal strengths, such as a vector, series, and/or the like, resulting from a measurement of timing delay margins of a combination of signals of a data-path. As used herein, the term “margin” refers to the timing delay of an individual data signal of the data-path. For each clock cycle of the functional unit the output data-path may have a different data value. Thus, during each clock cycle, a different combination of the logical paths within the functional unit may be tested, producing a different fingerprint. By collecting a large number of fingerprints over time, a dataset of fingerprints may be analyzed. The analysis of the fingerprint datasets may determine the performance and/or predict future failure of the individual IC.

[0064] In general terms, there may be considered a semiconductor integrated circuit (IC) comprising: a signal path combiner, comprising a plurality of input paths (for example to receive signals on a data source or data-path, from a memory circuit and/or from logic circuits grouped by a clock enable) and an output, the output being based on a combination of respective signals received on each of the input paths; a delay circuit having an input electrically connected to the signal path combiner output, the delay circuit delaying an input signal by a variable delay time to output a delayed signal; and a comparison circuit arranged to provide a comparison output based on a comparison of the signal path combiner output and the delayed signal, wherein the comparison output is provided in a comparison data signal to at least one mitigation circuit. The combination of the signal path combiner, delay circuit and comparison circuit may provide an FPC or MFPC.

[0065] A method for using such an IC may also be considered (in which using may comprise one of more of operating, analyzing and configuring, for instance). For instance, this may include a method for using a semiconductor integrated circuit (IC). The method may comprise: combining respective signals received on each of a plurality of input paths at a signal path combiner to provide an output; delaying the signal path combiner output by a variable delay time at a delay circuit to output a delayed signal; and comparing the signal path combiner output and the delayed signal to provide a comparison output and providing the comparison output in a comparison data signal to at least one mitigation circuit.

[0066] It may also be considered that the steps of combining, delaying and comparing may be repeated for each of a plurality of delay times. In this way, a plurality of comparison outputs may be provided. An identifying characteristic (i.e. a signature or fingerprint) for the IC may thereby be determined based on the plurality of comparison outputs. By repeating this process over different clock cycles, multiple such fingerprints may be determined. The fingerprints may then be tracked at different times, for example by tracking changes in the fingerprint over time (using intervals at least as long as the length of time taken to determine a single fingerprint and preferably longer).

[0067] Further optional method features corresponding with the steps implemented by any of the features described with reference to the IC may also be provided. Examples of these may be discussed below. Specific embodiments will also be discussed below, but further reference will also be made to generalized senses or terms of the disclosure.

[0068] Note that a data-path is one example of a design style that can be handled by the FPC or MFPC, other examples may be memory circuits (the FPC/MFPC is located at the output of the memory) and other logic circuits that are grouped together with respect to a certain clock enable.

[0069] Optionally, aspects of embodiments described herein may be applied to any reliability problem of IC performance, such as aging, latent defects that manifest in the design and cause degradation, manufacturing differences within/between ICs, manufacturing differences between fabs, and/or the like. The techniques described may find changes in timing delays from any source or cause, predict a future failure before the IC failure causes a device/system failure, and enable corrective and preventive action before the specific IC failure. While reliability issues, such as aging, electro -migration, and/or the like, are used here as examples, the techniques may also be applied to latent defects, such as random defects, systematic defects, unknown defects, and/or the like.

[0070] Optionally, the delay me be changed in small time steps, producing one or more sweeps of time delays, and associated fingerprints at each different time delay. The sweep may be analyzed to determine the operation of the individual IC, predict a future failure of the IC, and/or the like.

[0071] Optionally, one or more datasets (e.g. from signals on the IC) may be analyzed combinatorically to determine the operational delays of each path of the data-path (or equivalent signal path), each logical processing path of the functional unit, and/or the like.

[0072] Optionally, one or more datasets may be analyzed statistically to predict a future failure the IC. For example, an IC degradation trend may be analyzed in one or more delay margins measured using the failure prediction circuit, such as be analyzing a minimum delay margin change over time.

[0073] Optionally, one or more datasets may be analyzed using machine learning to monitor the failure of the IC, predict a future failure of the IC, and/or the like.

[0074] Optionally, one or more datasets may be analyzed to design a future IC.

[0075] Optionally, one or more sweeps may be analyzed combinatorically to determine the operational delays of each path of the data-path, each logical processing path of the functional unit, and/or the like.

[0076] Optionally, one or more sweeps may be analyzed statistically to predict a future failure the IC. For example, a regression analysis of one or more sweeps determines the changes in timing delays, and an extrapolation to a timing delay failure value determines the time to failure.

[0077] Optionally, one or more sweeps may be analyzed using machine learning to monitor the failure of the IC, predict a future failure of the IC, and/or the like.

[0078] Optionally, one or more delay time sweeps may be analyzed to design a future IC, where the future IC is designed to avoid the failures of the previous ICs.

[0079] Optionally, one or more sweeps are analyzed using machine learning at the beginning-of-life of the chip, e.g. the timing delay margins signature or fingerprint of the IC at the beginning-of-life. The signature or fingerprint may be used for chip outlier detection/screening, i.e. a specific IC is given a unique identity and the signature as compared to other ICs that allows detecting anomaly’s in a large manufacturing scale.

[0080] Reference is now made to FIG. 1 and FIG. 2, which show schematically a computerized system 100 and flowcharts (200 and 210) of methods, respectively, for IC failure prediction and margin measurement of logic -paths at the IC testing (tester or system level). System 100 comprises an IC 150, a computer 101A, and a data interface connection 140 connecting the two. IC 150 comprises multiple function units (as at 151, 152, 153, and the like), and data-paths (as at 141, 142A, 142B, 143A, 143B, and the like, which may include synthesized logic) between them. IC 150 comprises margin measurement and failure prediction circuits (MFPCs; as at 131, 132, 133, and the like) for capturing signals from data-paths (as at 142A, 143A, and the like), and determining delay timings of at least some signals form the respective data-path. MFPCs 131, 132, or 133 combine 201 signals from the data-path, and test 202 one or more delays of the combined signals. IC 150 comprises a data interface for connecting to data interface connection 140, and sending 202 the delay timings to computer 101A. Delay timing data collected for multiple signals of the data-paths and/or for multiple delay values, such as be changing 204 the delay, may be considered the fingerprint of the delay timings.

[0081] Computer 101 A comprises one or more hardware processors 101B, a user interface 120 and a non-transitory, computer readable storage medium 102. Storage medium comprises program code, such as an MFPC Data Receiver 102 A, an IC Aging Analyzer 102B, an IC Failure Predictor 102C, and/or the like, the program code comprising instructions that when executed on hardware processor(s) 101B, cause hardware processor(s) 101B to receive 211 the signal delay data (i.e. fingerprints) using a data interface 110, such as using MFPC Data Receiver 102A. IC Aging Analyzer 102B analyzes 212 the fingerprints, and IC Failure Predictor 102C notifies 213 an operator of a status, a failure prediction, a preventative action, and or the like, such as using user interface 120.

[0082] Optionally, the delay timings are analyzed by a circuit (not shown) of IC 150 to determine when clock and/or logic modifications 206 on IC 150 improve the lifetime of IC 150 before failure. Optionally, the delay timings are analyzed by a circuit (not shown) of IC 150 and a notification 206 is issued of the status or failure prediction.

[0083] Optionally, the delay timing fingerprint may be generated at the IC testing (tester or system) to extract the time-zero margin map of the data-paths in a certain unit.

[0084] The fingerprint may be analyzed at a time of initial operation and monitored over the life of the IC to determine when a predicted failure may occur. For example, a defect degradation gradient analysis may determine the future time of a failure of the IC. For example, analyzing the minimum margin of a fingerprint, plotting the minimum margin over time, and extrapolating the plot to a margin delay of zero determines the predicted time of failure.

[0085] Reference is now made to FIG. 3, which shows a XOR-based circuit diagram for IC failure prediction. An XOR component (XOR1) combines the signals from a data path, such as 64, 128, 256, 512, or the like number of signals in to a single signal XORl_out. XORl_out is feed into a first flip-flop FF2, and a delay line D2. The delayed XORl_out is fed into a second flip-flop FF1. FF1 and FF2 are activated by a clock clk_3, and their outputs XOR combined with XOR2. XOR2_out is a logical 1 for each delay where one of XORl_out and XORl_out_d2 is logical 1 at time of clk_dl.

[0086] Thus, multiple instances of clk_dl may and/or multiple values of Dl may determine timing delay data of the delay along data-paths of combinatorial (Combinatoric) logic FU1, and thus the fingerprint of timing delays. By analyzing these timing delays over time, the MFPC may detect which of the paths of FU 1 is degrading and/or aging fastest, and may cause failure of IC 150.

[0087] The output signal of XOR1 may be considered a compression of the input signals that preserves the minimum timing margin delays of the input signals of the data-path. The output of XOR2 may be logic- 1 when the minimum margin of an input signal is smaller than the delay associated with D2. Thus, XOR1 may be a parity checker, i.e. the output is logical 1 when the parity of the input signals is logical 1. Each rising-edge of the compressed signal (XOR1 output) may be associated with a rising edge of one of the input signals. For the simple case in which the minimal timing delay margin is associated with only one input, the last rising or falling transitions of XOR 1 -output represents the minimal margin. This concept may be proven by a mathematical proof, described hereinbelow, as well as by event-based simulations. For example, special cases may be proved by simulation, where the margin of several signals is smaller than D2, multiple signals switched simultaneously, and/or the like.

[0088] In the general terms considered above, the IC may further comprise: a first internal storage circuit, electrically connected to the signal path combiner output and arranged to provide the stored signal path combiner output as a first input to the comparison circuit; and a second internal storage circuit, electrically connected to the delayed signal and arranged to provide the delayed signal as a second input to the comparison circuit. However, such a configuration is optional, as will now be discussed.

[0089] Reference is now made to FIG. 3A, which shows a different version of a XOR- based circuit diagram for IC failure prediction, in comparison with that shown in FIG. 3. The data-path shown in FIG. 3 A has essentially the same structure as that shown in FIG. 3. In this version, a XOR component XORla combines the signals from the data path, such as 64, 128, 256, 512, or the like number of signals, into a single output signal, XORla out . XORla out is fed as a first a first input to a second XOR circuit XOR2a and in parallel, to a delay-line D2, the output of which provides a second input to the second XOR circuit XOR2a. The delayed output signal from the second XOR circuit XOR2a, XOR2a out , is fed into a flip-flop FFlb. Flip-flop FFlb is activated by a clock (clkla). The second output signal XOR2a out is a logical 1 for each delay where the two inputs of the second XOR circuit XOR2a are at different logic state at time of elk la.

[0090] Reference now is made to FIG.3B, which shows a further different version of a XOR-based circuit diagram for IC failure prediction, in comparison with that shown in FIG. 3. In this version, two XOR-based failure prediction circuits are provided that use one delay-line circuit. In other words, two data-paths are provided, each of which may be in accordance with that shown in FIG. 3 or FIG. 3A. The first failure prediction circuit comprises: a first XOR component XOR la that is driven by a set of parallel-input signals from a first data-path (as discussed with reference to FIG. 3 or FIG. 3A above); a second XOR component XOR2a; and a first flip-flop FFlb that is clocked by a first clock signal clkla. The second failure prediction circuit comprises: a third XOR component XORlb that is driven by a set of parallel-input signals from a second data-path (as discussed with reference to FIG. 3 or FIG. 3A above); a fourth XOR component XOR2b; and a second flip-flop FFlb that is clocked by a second clock signal clklb. A common delay line D2 serves the two failure prediction circuits with a multiplexer mux selecting, in a time-sharing mode, whether the output of the first XOR component XORla or the output of the third XOR component XORlb is provided as an input to the common delay-line D2. This is controlled using a selection signal In/out sel. The configuration of each of the two failure prediction circuits is otherwise as shown in FIG. 3A. The output of the first flip-flop FFlb clocked by first clock signal clkla and the output of the second flip- flop FFlb clocked by second clock signal clklb are provided as inputs to an OR gate to generate an output signal MT-out. When the multiplexer mux connects the output of the first XOR component XORla to the input of the delay-line D2, output signal MT-out is a logical 1 for each delay where the two inputs of the second XOR component XOR2a are at different logic state at time of the first clock signal clkla. When the multiplexer mux connects the output of the third XOR component XORlb to the input of the delay line D2, output signal MT-out is a logical 1 for each delay where the two inputs of the fourth XOR component XOR2b are at different logic state at time of second clock signal clklb.

[0091] In general terms, it may be further considered that the signal path combiner is a first signal path combiner arranged to receive a plurality of signals from a first data source (which may be a data-path or other set of signals as discussed herein) and the comparison circuit is a first comparison circuit. Then, the IC may be considered to further comprise a second signal path combiner, comprising a plurality of input paths and an output, the second signal path combiner output being based on a combination of respective signals received on each of the input paths, the signals being received from a second data source. Then, a multiplexer may be provided, configured to receive the first signal path combiner output, the second signal path combiner output and to selectively output the first signal path combiner output or the second signal path combiner output based on a received selection signal. The output of the multiplexer may be provided as the input to the delay circuit (such that the delay circuit is common to both the first and second signal path combiners. The IC may further comprise a second comparison circuit arranged to provide a second comparison output based on a comparison of the second signal path combiner output and the delayed signal (which may thereby be common to both the first and second comparison circuits). An OR gate may further be arranged to receive as inputs the first comparison output and the second comparison output and to provide an output as the comparison data signal to the at least one mitigation circuit. With reference to the method aspect, this may further comprise: combining respective signals received on each of a plurality of input paths at a second signal path combiner to provide an output, the signals being received from a second data source; receiving the first signal path combiner output, the second signal path combiner output and a selection signal at a multiplexer and selectively outputting the first signal path combiner output or the second signal path combiner output based on the selection signal, the output of the multiplexer being provided as the input to the delay circuit such that the step of delaying comprises delaying the first signal path combiner output or the second signal path combiner output by the variable delay time at the delay circuit to output the delayed signal; comparing the second signal path combiner output and the delayed signal to provide a second comparison output; and receiving at an OR gate, the first comparison output and the second comparison output as inputs and outputting the comparison data signal as an output from the OR gate to the at least one mitigation circuit.

[0092] Optionally, a first comparison storage circuit, controlled by a first clock signal, may be arranged to receive the first comparison output. Then, a second comparison storage circuit, controlled by a second clock signal (which may be the same or different from the first clock signal) may be arranged to receive the second comparison output. The first comparison storage circuit is advantageously arranged to provide the first comparison output as a first input to the OR gate and the second comparison storage circuit is arranged to provide the second comparison output as a second input to the OR gate. [0093] Reference is now made to FIG. 4, which shows a MUX-based circuit diagram for IC failure prediction. A multiplexer (Mux_sel) is used to select one or more of the data-paths, and then detect a delay timing fingerprint as described herein. The advantage with the MUX-based MFPC is that the one signal is selected for delay timing at a time, so failure may be detected with less data (such as with a dedicated analysis circuit on the IC). Optionally, a hybrid MUX/XOR based MFPC may be used that combine some of the advantages from each type of MFPC.

[0094] Reference is now made to FIG. 5, which shows a circuit diagram for anti-IC aging mode. The figure shows an anti-aging technique that deactivates the XOR circuit when the MFPC circuit is not enabled i.e. the MFPC clock is gated. When the circuit is disabled, a constant logic delay will increase the circuit degradation, such as due to NBTI effects. To mitigate the NBTI degradation the XOR circuit is toggled whenever the MFPC clock is gated. Alternatively, each of the signals is monitored separately for margin degradation. FIG. 5 is just one example of alternative embodiments of circuit corrections that may be performed to compensate for the degradation and/or aging of the IC circuit. Many other example circuits may be used.

[0095] The techniques disclosed herein may be expand to other types of logic paths/signals, path lengths, and different types of generating and sampling electronic elements. For example, phase paths, latch-based logic paths, gated-clock logic paths, flip-flop (FF) fall timing logic signals, and/or the like. For example, embodiments may detect hold-failure (min-delay) that is caused by a delay degradation in the clock path. In this example, a new delay-path (such as D4) is located between the clock of FF1 and FF2such that the D4 delay value delays the clock of FF2.

[0096] The MFPC may be always on or activated by an enable signal. For example, an enable signal represents a logical OR of the enable signals corresponding to the group of FFs that are sampled by the MFPC. When the enable is low, the MFPC may enter into an anti-IC aging mode detection, where a dedicated clock is used to toggle the MFPC to mitigate NBTI aging effects.

[0097] When the MFPC covers large logic areas (FUs) of the IC, the MFPC may be used as a timing delay margin signature or fingerprint of the IC at first operation. Over time, the MFPC may measure the margin signature at different times to analyze and detect the time gradient of the IC degradation/aging. Different gradient functions may be related to different types of defects and degradation modes. [0098] Optionally, the signature comprises multiple, overlapping delay margins, and a several critical timing delay margins are identified as having different time gradients each, and each is separately analyzed to predict a future IC failure. For example, non linear, spatiotemporal correlation methods are used to track multiple timing delay margins simultaneously from a series of signatures or fingerprints, each signature or fingerprint representing a one-dimensional vector of all timing delays overlapped. For example, a transformation is performed of multiple one-dimensional vectors to produce a two or more-dimensional data representation. For example, Laube et al. published in 2002,“Analyzing Relative Motion within Groups of Trackable Moving Point Objects”, in Lecture Notes in Computer Science (Egenhofer et al. - editors - Geographic Information Science, GIScience 2002), vol. 2478 (Springer, Berlin, Heidelberg), pages 132-144, which is incorporated entirely by reference.

[0099] When the performance of semiconductor integrated circuits is degraded over time, the progression of physical defects may gradually increase the delay time of the IC’s circuits. The IC may fail when the delay time exceeds the IC’s clock cycle time. Existing defect detection techniques may be able to detect defects after failure occurs, but when eminent failure is predicted, preemptive maintenance may be performed. This is especially important to applications where cost of failure is high (such as autonomous vehicles), cost of replacement is high (such as satellite IC failure), cost of failure to product image is high (such as a resulting negative user experience is created by failure), and/or the like. An integrated circuit (IC) embodiment using the techniques disclosed herein, includes a failure prediction circuit and a system that may alert of an imminent failure before the failure occurs.

[0100] For example, in the generalized sense discussed above, the variable delay time may be set in an integer multiple of increments equal to a clock period of the IC divided by a factor (a“signature vector size”), which is preferably from 1 to 100,000.

[0101] In some embodiments, the failure prediction circuit is comprised of a pair of storage components (e.g., flip-flops) that both receive a data signal output from a large number of paths of the IC, such as a data-path, memory paths, logic paths, and/or the like. To reduce overhead, the data signal is reduced using Hamming codes, parity codes, other error-correction techniques, and/or the like, before being stored in the two storage components. The two storage components differ from each other in data signal input timings, clock signal input timings, phase of input signals, input logic thresholds of the data signal, and/or the like. For example, a variable timing circuit is used to delay the signal to one of the flip-flops.

[0102] The FPC or MFPC further includes electronic components that determine (a) coincidence or non-coincidence of the outputs from the two storage components, and (b) how close the delay between non-coinciding outputs is to the clock cycle time of the IC.

[0103] In operation, after the coincidence or non-coincidence of the signal outputs is determined (such as using an XOR component), the failure prediction circuit increments the input timing, clock signal input timing, or input logic thresholds of one of the storage components, and the coincidence or non-coincidence of the outputs is determined again. This cycle may be repeated with small increments.

[0104] A log is maintained of the relative length of the sensed delay in comparison to the clock cycle time, as well as of the storage components increment used. Analysis, such as trend detection, combinatorial analysis, machine learning, regression analysis, anomaly detection, and/or the like, may be performed on the logged data, to estimate when the ICs degradation may reach a time when the IC fails, such as when the shortest logical path delay exceeds the subsequent clock cycle time.

[0105] This measurement and/or estimation may be utilized in a number of ways. An alert may be issued to the user of the system where the IC is implemented, indicating either the margin (how close the delay is to the IC’s clock cycle time) or the estimated failure time. Further, the agent may instruct an operational change of the IC, such as clock speed or voltage reduction, which may postpone the failure and prolong the IC’s lifespan.

[0106] By continuously monitoring logic circuits at the data-path output using a small number of components, resources, such as IC area, power, and/or the like, may be conserved relative to existing techniques.

[0107] For example, the input signals are compressed to generate a Hamming code (compressed into the Hamming space). The Hamming-code may be used for higher- order of error detection, correction, and/or prediction process. For example, an XOR- based circuit is used to combine all data-path signals into two unified signal path that implements a modulo-4 logic operation. Other types of compression (source) code may be used additionally or as an alternative.

[0108] In the general terms discussed previously, it may be understood that the signal path combiner (or at least one of the signal path combiners) comprises at least one of a logical XOR combiner (as shown in FIG. 3 or FIG. 3A), a Hamming parity combiner, and a multiplexer.

[0109] The technique may be expanded to other types of logic-paths and sampling sequential elements, for example:

• Phase paths

• Latch-based logic paths

• Gated-clock logic paths

• Data-path signal fall based logic paths

• Memories inputs & outputs

[0110] The failure prediction circuit may be always on or may be activated by an enable signal that represents a logic-OR of the signals of a data-path. When the enable is low, the failure prediction circuit uses a dedicated clock to toggle the circuit to mitigate aging effects.

[0111] Integrated circuits may implement a large number of synchronous and timing sensitive logic circuits. When the delay of the circuit is increased due to physical degradation, then a timing violation occurs, and the violation may affect the functionality of the circuit. The physical degradation may be caused by aging effects, or due to defects that developed during use. The failure prediction circuit tracks the logic delay margin over time, and may predict a failure due to physical delay degradation.

[0112] In the general terms discussed above, it may therefore be considered that the mitigation circuit is at least one circuit from the group consisting of: a notification circuit (for example, as configured to produce notification 206 or 213); a timing delay measurement (or estimation) circuit (for example, for providing a timing delay output); a data transmission circuit; an IC anti-aging compensation circuit (for instance, as discussed with reference to FIG. 5 above); and a failure analysis circuit.

[0113] Where the mitigation circuit is a data transmission circuit, it may be electrically connected to a computerized server. Then, the computerized server is advantageously configured to receive multiple instances of the comparison data signal (for example in respect of different times and/or different data sources). The computerized server may thereby perform a failure prediction analysis of the comparison data signals. Optionally, it may send a notification to a mitigation module (such as an IC anti-aging compensation circuit) when the failure prediction analysis predicts failure of the IC within a predefined time. At least some of the comparison data signals may be generated at multiple values of the variable delay time and/or at least some of the comparison data signals may be generated from multiple instances of at least one value from multiple values of the variable delay time. Optionally, the failure prediction analysis comprises at least one of a machine learning analysis, a trend analysis, a multiple object tracking analysis, and a multivariant analysis. Advantageously, the failure prediction analysis comprises receiving comparison data signals and/or failure prediction analysis results from multiple different ICs.

[0114] The failure prediction circuit beneficially continuously monitors a large number of logic circuits, such as signals of a data-path on the output of a functional unit of an IC, using small IC area and power.

[0115] In some embodiments, a computer algorithm may be used to determine the population of the failure prediction circuits within a unit per a pre-defined coverage. It may use design-data such as memory-circuits and flip-flop-circuits within the unit. The computer algorithm may also be used to automatically locate the FPC or MFPC circuits per the unit clock-gate signals and to automatically set the input-signal-size per FPC or MFPC for optimal performance (maximum instance coverage with minimum number of FPC or MFPC circuits).

[0116] In some embodiments, the delays within the failure prediction circuit may be calibrated. This may be done in order to have a very fast correlation path to the design data and to provide accurate margin results at time zero (during test). One calibration methodology may use Pre-Si estimator functions that are based on on-die sensors (agents) in Post-Si to translate the FPC or MFPC circuit measured margin in Pre-Si to the worst case margin of the monitored endpoints (FFs) margins.

[0117] In general terms, this may be considered to include measuring or estimating a timing delay for the IC (particularly at initial or time-zero operation), based on the comparison data signal provided to the mitigation circuit. The timing delay may be based on a plurality of comparison outputs (which may be in a single comparison data signal or a plurality of comparison data signals), for example determined by repeating the steps of combining, delaying and comparing for each of a plurality of delay times.

[0118] In some embodiments the delay through XI..Xn + XorlA + Xor2A is balanced to a delay applied to the clock used for the output flip-flop (D3) in order to make the calibration shift minimal.

[0119] In some embodiments, the timing margin data of a large-scale logic circuit within a unit or a die that was extracted at time-zero, such as digital logic circuits and/or the like, may be tracked and compared over time. The tracking may detect and/or predict a timing failure due to change in the delay and/or aging degradation of the IC. Referring now to FIG. 11, there is shown shows a Margin-Map diagram of a unit. This is an example of a unit margin map that represents the unit margin finger-print at the beginning of life (the margin is represented by an equivalent buffer-delay) . The signature may be used for chip outlier detection/screening. In other words, a specific IC is given a unique identity and the signature is compared to other ICs, which allows detecting anomalies in a large manufacturing scale. The margin-map can be tracked over time to measure the margin signature at different times to analyze and detect the time gradient of the IC degradation or aging. Different gradient functions may be related to different types of defects and degradation modes.

[0120] In some embodiments, the margin data of a die can be collected and used for die- classification and anomaly-detection processes. This is done by collecting the margin data of a unit within a die and use ML algorithms to build an estimator function that are based on on-die sensors. More details are described in US provisional patent application No. 62/675,986 Entitled“INTEGRATED CIRCUIT PROFILING AND ANOMALY DETECTION”, FILED April 16, 2018, the contents of which incorporated herein by reference in their entirety.

[0121] In some embodiments, the margin data can be analyzed per a specific running application to generate an application-based frequency/power binning.

[0122] Following are mathematical proofs that may rely on an assumption that all paths are independent. For simplicity, the proof is performed using the circuit described in FIG. 3. The proof is also valid for the circuit describe in FIG. 3 A under the assumption that XOR2a is symmetrical. This assumption may be relaxed in cases of at least some dependent paths when needed, with appropriate amendments. At any time, denoted t, we denote the margin of path x\ (path i at time t) by m\. In the following, the clock cycle is denoted by T.

Theorem 1: At time t,

the output of XOR2 is constantly O’ of XOR2 may be 1’ with some probability P. Theorem 2: For the second case of theorem 1 (D 2 > the probability P is larger than

Corollary: Given that by some degradation t 2 > t i . Then, for D2 such that m^ in > D2 > the output of XOR2 is O’ on time t and G with some probability on t .

Proof of Theorem 1:

Case A: As all the inputs of XOR1 do not change at the time window (T T] it follows that FF1 and FF2 contain the same value, so the output of XOR2 is O’.

Case B: We represent XOR1 by 3 XORs: XORa, XORb and XORc. Their inputs are as follows:

• XORa: a constant O’, plus all the paths i for which mf < D 2.

• XORb: a constant O’, plus all the paths i for which mf > D 2.

• XORc: the outputs of XORa and XORb.

Then, at the time window [T— D 2 , T],

• The output of XORa may be changed with some probability, as the inputs may be changed during that time.

• The output of XORb is constant.

Therefore, the output of XORc, (which is actually the output of XOR1) may be changed at the time window (T— D2, T] with some probability, and hence the output of XOR2 may be G.

Proof of Theorem 2:

Let’s use the same representation of XOR1 as in the proof of Theorem 1. Then, the probability P in which the output of XOR2 is 1’ is the probability in which the output of XORa is changed in two sequential cycles. That probability is 2q out (l— q out ) where q out is the probability that the output of XORa is O’.

Now, let represent XORa by 2 XORs: XORal and XORa2. Their inputs are as follows:

• XORal: The signal x for which q x (l— q x ) is the maximal among all the

inputs of XORa and the output of XORa2. Note that the output of XORal is actually the output of XORa. • XORa2: all the inputs of XORa except of the signal x (for which q x (l— q x ) is the maximal).

Then, by Lemma 1 it follows that <7 a1 (1— q al ), (where q al is the probability that the output of XORa 1 is O’) is larger than q x (l— q x ).

Hence as the output of XORal is actually the output of XORa, Theorem 2 is obtained.

Lemma 1: Let a and b stand for signals for which the probabilities for O’ are q a and q b respectively. Then, q c (l— q c ) > max q a ( 1— q a ), q b ( 1— q b ), where q c stands for the probability that the output of XOR(a, b ) is O’.

Proof of Lemma 1:

Let’s assume without loss of generality that qr a (l— q a ) = max qr a (l— q a ), q b (l— q b ). Then, by simple algebra it follows that q a , where D a = 1— 2 a-

Furthermore, by the XOR definition it follows that: q c = q a q b + (1— qr a )( 1— q b ). Hence, by the above algebra it follows that qr c ( 1— q c ) = ^ (1— D^), where D e = 1—

2q c -

In addition, by the definition of q c , it may be shown that D e 1 2.(2.q a q b q a q b T 1) 1 4 a tf b F 2 a F b D a ( 1 + 2¾)

Hence, since |— 1 + 2q b \ < 1, it follows that D^ < D^. So, Lemma 1 is obtained.

[0123] At any time-interval, the MUX -based MFPC may be considered a special case of the XOR-based MFPC. Hence the mathematical proof below of the XOR-based version holds for the MUX-based version.

EXPERIMENTAL RESULTS

[0124] Following are the results of simulation experiments.

[0125] Reference is now made to FIG. 6, which shows a timing diagram of a signal delay for IC failure prediction. Signal definition may be Di~ U(Xi, Xi+di ), and P{ V(Si) = 1 , tj}= P{ V(Si ) = 0 , tj } = 1/2. FIG. 6 shows an event-based simulation description, with simulation settings:

• XOR1 was monitoring 256 input paths

• The data length of each path was 10 4 clock cycles • The cycle time of the clock was defined as 100 units of time

• A signal Si was generated for each path [z] (detailed description in next page)

• Each path [z], was defined by two constants [X/] and \di\ which determine the delay per each clock cycle

• [Xz ' Jwas drawn for each path by a uniform distribution between 25 - 50 units of time

• [ < iz] was drawn for each path by a uniform distribution between 0 - 25 units of time

• For signal i, the switching time in each cycle was uniformly drawn in the range of ( Xi , Xi+di )

• The margin of signal i, is then [100 - Xi - di]

[0126] The experiment was conducted for each value of D2, where D2 was defined in units of time, and the D2 delay value was swept in values at a resolution of needed to resolve separate timing delay margins in the signature, such as resolutions of fractions of the clock period times. For each D2 value, XOR2 output transitions may be counted, and the number of counts is plotted vs. the margin timing value threshold. The X-axis margin threshold may be 100-D2, and the Y-axis may be the number of [l]’s at the output of XOR2 observed for a certain value of D2:

XOR2 = 1 iff XORl(t = 100) ¹ XORl(t=D2).

[0127] Reference is now made to FIG. 7, which shows a graph of errors versus cycle time for a first experiment. The solid line represents the MFPC output at time-zero (without degradation), and the dashed line represents the MFPC output after degradation. The minimum margin was equal to 25 time-units, such as [100-75], and MaxD2 at failure was 75 time-units. In the degradation scenario the margin of one path was reduced by 15 time units (margin is distributed uniformly, and the max value was moved by 15 time units), the MFPC detects the change in the margin. Here the minimum margin was equal to 10 time-units, such as [100-75-15], and MaxD2 at failure was 90 time-units. The graph shows the counts at XOR2 output are gradually reduced to zero. For each D2 in the range of [75-90]:

P(XOR2= 1 )=P(change,delay>D2)=0.5*(90-(l00-D2))/(di+ 15).

[0128] Reference is now made to FIG. 8, which shows a graph of errors versus cycle time for a second experiment. The solid line represents the MFPC output at time-zero (no degradation), and the dashed line represent the MFPC output after degradation. The minimum margin of all the paths with [Xi+di > 70] (margin<30) was increased by 15 time-units, and this was performed for 5 signal paths. The minimum margin was equal to 25 time-units, such as [100-75], and MaxD2 at failure was 75 time-units. The MFPC detects the change in the margin, where the minimum margin was equal to 10 time-units, such as [100-75-15], and MaxD2 at failure was 90 time-units. The counts at XOR2 output are gradually reduced to zero. The probability of failure was increased with the number of paths.

[0129] Reference is now made to FIG. 9 (upper diagram), which shows a timing diagram of two signal delays for IC failure prediction. The multiple signals are switched simultaneously, with equal delay and logic value at each cycle. The duplicate signals are implemented with the smallest margin. The maximum value of [ Xi+di ] is shown, where delay of the duplicated paths was increased by 15 time-units. Both paths implement the same degradation.

[0130] Reference is now made to FIG. 10, which shows a graph of errors versus cycle time for a third experiment. The solid line represents no degradation, the dashed line (similar to the solid line) represents a first degradation scenario (Fig 9 upper line), and the dot-dashed line represents a second degradation scenario in which the delay of one of the duplicated paths was increased by an additional 5 time-units (Fig 9 lower line). Note that the delays of the replica signal are smaller by 5 time-units with respect to the base signal. The two paths are logically identical, but implement different timing degradations. The minimum margin was equal to 25 time-units, such as [100-75]. In a first degradation scenario (dashed line), the system may not detect the change in the margin. MaxD2 at failure equals 75 time-units for both scenarios. In a second degradation scenario (dot-dashed line), the system detects the change in the margin. MaxD2 at failure was 95 time-units.

[0131] Throughout this application, various embodiments of this invention may be presented in a range format. It should be understood that the description in range format is merely for convenience and brevity and should not be construed as an inflexible limitation on the scope of the invention. Accordingly, the description of a range should be considered to have specifically disclosed all the possible subranges as well as individual numerical values within that range. For example, description of a range such as from 1 to 6 should be considered to have specifically disclosed subranges such as from 1 to 3, from 1 to 4, from 1 to 5, from 2 to 4, from 2 to 6, from 3 to 6 etc., as well as individual numbers within that range, for example, 1, 2, 3, 4, 5, and 6. This applies regardless of the breadth of the range. [0132] Whenever a numerical range is indicated herein, it is meant to include any cited numeral (fractional or integral) within the indicated range. The phrases“ranging/ranges between” a first indicate number and a second indicate number and“ranging/ranges from” a first indicate number “to” a second indicate number are used herein interchangeably and are meant to include the first and second indicated numbers and all the fractional and integral numerals therebetween.

[0133] In the description and claims of the application, each of the words“comprise” “include” and“have”, and forms thereof, are not necessarily limited to members in a list with which the words may be associated. In addition, where there are inconsistencies between this application and any document incorporated by reference, it is hereby intended that the present application controls.

[0134] To clarify the references in this disclosure, it is noted that the use of nouns as common nouns, proper nouns, named nouns, and the/or like is not intended to imply that embodiments of the invention are limited to a single embodiment, and many configurations of the disclosed components can be used to describe some embodiments of the invention, while other configurations may be derived from these embodiments in different configurations.

[0135] In the interest of clarity, not all of the routine features of the implementations described herein are shown and described. It should, of course, be appreciated that in the development of any such actual implementation, numerous implementation- specific decisions must be made in order to achieve the developer's specific goals, such as compliance with application- and business-related constraints, and that these specific goals will vary from one implementation to another and from one developer to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.

[0136] Based upon the teachings of this disclosure, it is expected that one of ordinary skill in the art will be readily able to practice the present invention. The descriptions of the various embodiments provided herein are believed to provide ample insight and details of the present invention to enable one of ordinary skill to practice the invention. Moreover, the various features and embodiments of the invention described above are specifically contemplated to be used alone as well as in various combinations.

[0137] Conventional and/or contemporary circuit design and layout tools may be used to implement the invention. The specific embodiments described herein, and in particular the various thicknesses and compositions of various layers, are illustrative of exemplary embodiments, and should not be viewed as limiting the invention to such specific implementation choices. Accordingly, plural instances may be provided for components described herein as a single instance.

[0138] While circuits and physical structures are generally presumed, it is well recognized that in modem semiconductor design and fabrication, physical structures and circuits may be embodied in computer readable descriptive form suitable for use in subsequent design, test or fabrication stages as well as in resultant fabricated semiconductor integrated circuits. Accordingly, claims directed to traditional circuits or structures may, consistent with particular language thereof, read upon computer readable encodings and representations of same, whether embodied in media or combined with suitable reader facilities to allow fabrication, test, or design refinement of the corresponding circuits and/or structures. Structures and functionality presented as discrete components in the exemplary configurations may be implemented as a combined structure or component. The invention is contemplated to include circuits, systems of circuits, related methods, and computer-readable medium encodings of such circuits, systems, and methods, all as described herein, and as defined in the appended claims. As used herein, a computer readable medium includes at least disk, tape, or other magnetic, optical, semiconductor (e.g., flash memory cards, ROM), or electronic medium and a network, wireline, wireless or other communications medium.

[0139] The foregoing detailed description has described only a few of the many possible implementations of the present invention. For this reason, this detailed description is intended by way of illustration, and not by way of limitations. Variations and modifications of the embodiments disclosed herein may be made based on the description set forth herein, without departing from the scope and spirit of the invention. It is only the following claims, including all equivalents, which are intended to define the scope of this invention. In particular, even though the preferred embodiments are described in the context of one of a number of specific circuit designs for a semiconductor IC, the teachings of the present invention are believed advantageous for use with other types of semiconductor IC circuitry. Moreover, the techniques described herein may also be applied to other types of circuit applications. Accordingly, other variations, modifications, additions, and improvements may fall within the scope of the invention as defined in the claims that follow. [0140] Embodiments of the present invention may be used to fabricate, produce, and/or assemble integrated circuits and/or products based on integrated circuits.

[0141] Aspects of the present invention are described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to embodiments of the invention. It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer readable program instructions.

[0142] The flowchart and block diagrams in the Figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods, and computer program products according to various embodiments of the present invention. In this regard, each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s). In some alternative implementations, the functions noted in the block may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions.

[0143] The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. Combinations of features and/or aspects as disclosed herein are also possible, even between different embodiments of FPC or MFPC or other designs and/or drawings of other features. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application, or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.