Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
LOOP EXECUTION IN A RECONFIGURABLE COMPUTE FABRIC
Document Type and Number:
WIPO Patent Application WO/2023/022861
Kind Code:
A1
Abstract:
Various examples are directed to systems and methods for performing operations in a reconfigurable compute fabric. A dispatch interface may send a first asynchronous message to a first flow controller of a first synchronous flow. The first asynchronous message may instruct the first flow controller to begin execution of a first-level loop. The first synchronous flow may send a second asynchronous message to a second flow controller of a second synchronous flow. The second asynchronous message may instruct the second flow controller to execute a second-level loop. The first flow controller may receive a third asynchronous message indicating that the second-level loop has completed and that a synchronous flow thread is free for executing a next iteration of the first-level loop.

Inventors:
VANESKO DOUGLAS (US)
HORNUNG BRYAN (US)
Application Number:
PCT/US2022/038379
Publication Date:
February 23, 2023
Filing Date:
July 26, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MICRON TECHNOLOGY INC (US)
International Classes:
G06F9/30
Foreign References:
US10990392B22021-04-27
US7635987B12009-12-22
US20120089812A12012-04-12
EP2466485B12017-08-30
US20190369987A12019-12-05
Attorney, Agent or Firm:
PERDOK, Monique M. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is: A method, comprising: sending, by a dispatch interface, a first asynchronous message to a first flow controller of a reconfigurable compute fabric, the first asynchronous message instructing the first flow controller to begin execution of a first-level loop at a first synchronous flow of the reconfigurable compute fabric and comprising an indication of a number of iterations of the first-level loop, the first synchronous flow comprising the first flow controller and a first number of compute elements of the reconfigurable compute fabric; responsive to the first asynchronous message, sending, by the first synchronous flow, a second asynchronous message to a second flow controller of the reconfigurable compute fabric, the second asynchronous message instructing the second flow controller to execute a second-level loop at a second synchronous flow of the reconfigurable compute fabric and comprising an indication of a number of iterations of the second-level loop, the second synchronous flow comprising the second flow controller and a second number of compute elements of the reconfigurable compute fabric; receiving, by the first flow controller, a third asynchronous message indicating that the second-level loop has completed and that a synchronous flow thread is free for executing a next iteration of the first-level loop; responsive to the third asynchronous message, sending, by the first synchronous flow, a fourth asynchronous message to the second flow controller, the fourth asynchronous message instructing the second flow controller to execute the second-level loop; determining, by the first flow controller, that the number of iterations of the first-level loop have executed; and initiating, by the first flow controller, a memory write writing to a memory at least one of a result of the first-level loop or a result of the second-level loop.

2. The method of claim 1, the second asynchronous message being sent by the first flow controller.

3. The method of claim 1, further comprising: responsive to the second asynchronous message, sending, by the second synchronous flow, a fifth asynchronous message to a third flow controller of the reconfigurable compute fabrics, the fifth asynchronous message instructing a third synchronous flow to execute a third-level loop and comprising an indication of a number of iterations of the third-level loop, the third synchronous flow comprising the third flow controller and a third number of compute elements of the reconfigurable compute fabric.

4. The method of claim 3, the fifth asynchronous message being sent by the second flow controller.

5. The method of claim 3, further comprising: initiating, by the third flow controller, a third synchronous flow thread at the third synchronous flow, the third synchronous flow thread to execute at least one operation of a first iteration of the third- level loop; and receiving, by the third flow controller and from at least one compute element of the third synchronous flow, a sixth asynchronous message indicating that the first iteration of the third-level loop has completed and indicating that a synchronous flow thread is free for executing a next iteration of the third-level loop. The method of claim 3, further comprising: determining, by the third flow controller, that the number of iterations of the third-level loop have executed; and sending, by the third flow controller, a seventh asynchronous message to the second flow controller, the seventh asynchronous message indicating that the third-level loop has completed and that a synchronous flow thread is available for executing a next iteration of the second-level loop. The method of claim 1, further comprising: sending, by a the first flow controller, an eighth asynchronous message to a fourth flow controller of the reconfigurable compute fabric, the eighth asynchronous message instructing the fourth flow controller to initiate a synchronous flow thread at a fourth synchronous flow to write at least one of the result of the first- level loop or the result of the second-level loop to a memory upon receiving a sixth asynchronous message indicating completion of the first-level loop, wherein the initiating of the memory write comprises sending the sixth asynchronous message to the third flow controller, the fourth synchronous flow comprising the fourth flow controller and a fourth number of compute elements of the reconfigurable compute fabric; and initiating, by the fourth flow controller, a synchronous flow thread at the fourth synchronous flow to write to the memory at least one of the result of the first-level loop or the result of the second- level loop.

8. The method of claim 7 , further comprising sending, by the fourth flow controller, a ninth asynchronous message to the dispatch interface, the ninth asynchronous message indicating that the first-level loop is complete and that a synchronous flow thread for the first-level loop is available.

9. The method of claim 1, further comprising obtaining, by the first flow controller, a first-level thread identifier for a first iteration of the first-level loop, the third asynchronous message indicating that the first-level thread identifier is free.

10. A reconfigurable compute fabric, comprising: a dispatch interface programmed to send a first asynchronous message to a first flow controller of a first synchronous flow, the first asynchronous message instructing the first flow controller to begin execution of a first-level loop and comprising an indication of a number of iterations of the first-level loop; multiple flow controllers; multiple compute elements, the multiple flow controllers and multiple compute elements being arranged into at least a first synchronous flow comprising a first flow controller and a first number of the multiple compute elements and a second synchronous flow comprising a second number of the multiple compute elements; the first synchronous flow being programmed to perform operations comprising: responsive to the first asynchronous message, sending a second asynchronous message to a second flow controller of a second synchronous flow, the second asynchronous message instructing the second flow controller to execute a second-level loop and comprising an indication of a number of iterations of the second-level loop; receiving, by the first flow controller, a third asynchronous message indicating that the second-level loop has completed and that a synchronous flow thread is free for executing a next iteration of the first-level loop; responsive to the third asynchronous message, sending a fourth asynchronous message to the second flow controller, the fourth asynchronous message instructing the second flow controller to execute the second-level loop; determining, by the first flow controller, that the number of iterations of the first-level loop have executed; and initiating a memory write writing to a memory at least one of a result of the first-level loop or a result of the second-level loop.

11. The reconfigurable compute fabric of claim 10, the second asynchronous message being sent by the first flow controller.

12. The reconfigurable compute fabric of claim 10, further comprising the second synchronous flow, the second synchronous flow comprising the second flow controller and a second number of the multiple compute elements of the reconfigurable compute fabric, the second synchronous flow being programmed to perform operations comprising: responsive to the second asynchronous message, sending a fifth asynchronous message to a third flow controller of a third synchronous flow, the fifth asynchronous message instructing the third synchronous flow to execute a third-level loop and comprising an indication of a number of iterations of the third- level loop.

13. The reconfigurable compute fabric of claim 12, the fifth asynchronous message being sent by the second flow controller.

14. The reconfigurable compute fabric of claim 12, further comprising the third synchronous flow, the third synchronous flow comprising the third flow controller and a third number of the multiple compute elements of the reconfigurable compute fabric, the third synchronous flow being programmed to perform operations comprising: initiating, by the third flow controller, a third synchronous flow thread at the third synchronous flow, the third synchronous flow thread to execute at least one operation of a first iteration of the third- level loop; and receiving, by the third flow controller and from at least one compute element of the third synchronous flow, a sixth asynchronous message indicating that the first iteration of the third-level loop has completed and indicating that a synchronous flow thread is free for executing a next iteration of the third-level loop.

15. The reconfigurable compute fabric of claim 12, further comprising the third synchronous flow, the third synchronous flow comprising the third flow controller and a third number of the multiple compute elements of the reconfigurable compute fabric, the third synchronous flow being programmed to perform operations comprising: determining, by the third flow controller, that the number of iterations of the third-level loop have executed; and sending, by the third flow controller, a seventh asynchronous message to the second flow controller, the seventh asynchronous message indicating that the third-level loop has completed and that a synchronous flow thread is available for executing a next iteration of the second-level loop.

16. The reconfigurable compute fabric of claim 10, further comprising a fourth synchronous flow: the fourth synchronous flow comprising a fourth flow controller and a fourth number of the multiple compute elements of the reconfigurable compute fabric; the first synchronous flow further being programmed to perform operations comprising sending, by a the first flow controller, an eighth asynchronous message to the fourth flow controller, the eighth asynchronous message instructing the fourth flow controller to initiate a synchronous flow thread at a fourth synchronous flow to write at least one of the result of the first- level loop or the result of the second-level loop to a memory upon receiving a sixth asynchronous message indicating completion of the first-level loop, wherein the initiating of the memory write comprises sending the sixth asynchronous message to the third flow controller; and the fourth synchronous flow being programmed to perform operations comprising initiating, by the fourth flow controller, a synchronous flow thread at the fourth synchronous flow to write to the memory at least one of the result of the first-level loop or the result of the second-level loop.

17. The reconfigurable compute fabric of claim 16, the fourth synchronous flow being further programmed to perform operations comprising sending, by the fourth flow controller, a ninth asynchronous message to the dispatch interface, the ninth asynchronous message indicating that the first-level loop is complete and that a synchronous flow thread for the first-level loop is available.

18. The reconfigurable compute fabric of claim 10, the first synchronous flow further being programmed to perform operations comprising obtaining, by the first flow controller, a first-level thread identifier for a first iteration of the first-level loop, the third asynchronous message indicating that the first-level thread identifier is free.

19. A machine-readable medium comprising instructions thereon that, when executed by a computer architecture, cause the computer architecture to perform operations comprising: sending, by a dispatch interface of the computer architecture, a first asynchronous message to a first flow controller of a computer architecture, the first asynchronous message instructing the first flow controller to begin execution of a first-level loop at a first synchronous flow of the computer architecture and comprising an indication of a number of iterations of the first-level loop, the first synchronous flow comprising the first flow controller and a first number of compute elements of the computer architecture; responsive to the first asynchronous message, sending, by the first synchronous flow, a second asynchronous message to a second flow controller of the computer architecture, the second asynchronous message instructing the second flow controller to execute a second-level loop at a second synchronous flow of the computer architecture and comprising an indication of a number of iterations of the second-level loop; receiving, by the first flow controller, a third asynchronous message indicating that the second-level loop has completed and that a synchronous flow thread is free for executing a next iteration of the first-level loop, the second synchronous flow comprising the second flow controller and a second number of compute elements of the computer architecture; responsive to the third asynchronous message, sending, by the first synchronous flow, a fourth asynchronous message to the second flow controller, the fourth asynchronous message instructing the second flow controller to execute the second-level loop; determining, by the first flow controller, that the number of iterations of the first-level loop have executed; and initiating, by the first flow controller, a memory write writing to a memory at least one of a result of the first-level loop or a result of the second-level loop.

20. The machine-readable medium of claim 19, the second asynchronous message being sent by the first flow controller.

Description:
LOOP EXECUTION IN A RECONFIGURABLE COMPUTE FABRIC

CLAIM FOR PRIORITY

[0001] This application claims priority to U.S. Patent Application Serial No. 17/402,849, filed August 16, 2021, which is hereby incorporated by reference herein in its entirety.

BACKGROUND

[0002] Various computer architectures, such as the Von Neumann architecture, conventionally use a shared memory for data, a bus for accessing the shared memory, an arithmetic unit, and a program control unit. However, moving data between processors and memory can require significant time and energy, which in turn can constrain performance and capacity of computer systems. In view of these limitations, new computing architectures and devices are desired to advance computing performance beyond the practice of transistor scaling (i.e., Moore's Law).

BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS

[0003] To easily identify the discussion of any particular element or act, the most significant digit or digits in a reference number refer to the figure number in which that element is first introduced.

[0004] FIG. 1 illustrates generally a first example of a first memorycompute device in the context of a memory-compute system, according to an embodiment.

[0005] FIG. 2 illustrates generally an example of a memory subsystem of a memory-compute device, according to an embodiment.

[0006] FIG. 3 illustrates generally an example of a programmable atomic unit for a memory controller, according to an embodiment.

[0007] FIG. 4 illustrates an example of a hybrid threading processor (HTP) accelerator of a memory-compute device, according to an embodiment. [0008] FIG. 5 illustrates an example of a representation of a hybrid threading fabric (HTF) of a memory-compute device, according to an embodiment.

[0009] FIG. 6A illustrates generally an example of a chiplet system, according to an embodiment.

[0010] FIG. 6B illustrates generally a block diagram showing various components in the chiplet system from the example of FIG. 6A.

[0011] FIG. 7 illustrates generally an example of a chiplet-based implementation for a memory-compute device, according to an embodiment.

[0012] FIG. 8 illustrates an example tiling of memory-compute device chiplets, according to an embodiment.

[0013] FIG. 9 is a diagram showing one example of a workflow for executing operations at a reconfigurable compute fabric using more than one synchronous flow.

[0014] FIG. 10 is a diagram showing one example of a workflow for executing operations at a reconfigurable compute fabric in which synchronous flows interact with a memory interface.

[0015] FIG. 11 is a diagram showing one example of a workflow for executing a loop using a reconfigurable compute fabric.

[0016] FIG. 12 is a diagram showing one example of a workflow for executing a triply-nested loop using a reconfigurable compute fabric.

[0017] FIG. 13 is a diagram showing another example of a workflow for executing a triply-nested loop using a reconfigurable compute fabric.

[0018] FIG. 14 illustrates a block diagram of an example machine with which, in which, or by which any one or more of the techniques (e.g., methodologies) discussed herein can be implemented.

[0019] FIG. 15 illustrates a block diagram of an example machine 1500 with which, in which, or by which any one or more of the techniques (e.g., methodologies) discussed herein can be implemented. DETAILED DESCRIPTION

[0020] Recent advances in materials, devices, and integration technology, can be leveraged to provide memory-centric compute topologies. Such topologies can realize advances in compute efficiency and workload throughput, for example, for applications constrained by size, weight, or power requirements. The topologies can be used to facilitate low-latency compute near, or inside of, memory or other data storage elements. The approaches can be particularly well-suited for various compute-intensive operations with sparse lookups, such as in transform computations (e.g., fast Fourier transform computations (FFT)), or in applications such as neural networks or artificial intelligence (Al), financial analytics, or simulations or modeling such as for computational fluid dynamics (CFD), Enhanced Acoustic Simulator for Engineers (EASE), Simulation Program with Integrated Circuit Emphasis (SPICE), and others.

[0021] Systems, devices, and methods discussed herein can include or use memory-compute systems with processors, or processing capabilities, that are provided in, near, or integrated with memory or data storage components. Such systems are referred to generally herein as compute- near-memory (CNM) systems. A CNM system can be a node-based system with individual nodes in the systems coupled using a system scale fabric. Each node can include or use specialized or general purpose processors, and user-accessible accelerators, with a custom compute fabric to facilitate intensive operations, particularly in environments where high cache miss rates are expected.

[0022] In an example, each node in a CNM system can have a host processor or processors. Within each node, a dedicated hybrid threading processor can occupy a discrete endpoint of an on-chip network. The hybrid threading processor can have access to some or all of the memory in a particular node of the system, or a hybrid threading processor can have access to memories across a network of multiple nodes via the system scale fabric. The custom compute fabric, or hybrid threading fabric, at each node can have its own processor(s) or accelerator(s) and can operate at higher bandwidth than the hybrid threading processor. Different nodes in a compute-near-memory system can be differently configured, such as having different compute capabilities, different types of memories, different interfaces, or other differences. However, the nodes can be commonly coupled to share data and compute resources within a defined address space.

[0023] In an example, a compute-near-memory system, or a node within the system, can be user-configured for custom operations. A user can provide instructions using a high-level programming language, such as C/C++, that can be compiled and mapped directly into a dataflow architecture of the system, or of one or more nodes in the CNM system. That is, the nodes in the system can include hardware blocks (e.g., memory controllers, atomic units, other customer accelerators, etc.) that can be configured to directly implement or support user instructions to thereby enhance system performance and reduce latency.

[0024] In an example, a compute-near-memory system can be particularly suited for implementing a hierarchy of instructions and nested loops (e.g., two, three, or more, loops deep, or multiple-dimensional loops). A standard compiler can be used to accept high-level language instructions and, in turn, compile directly into the dataflow architecture of one or more of the nodes. For example, a node in the system can include a hybrid threading fabric accelerator. The hybrid threading fabric accelerator can execute in a user space of the CNM system and can initiate its own threads or subthreads, which can operate in parallel. Each thread can map to a different loop iteration to thereby support multi-dimensional loops. With the capability to initiate such nested loops, among other capabilities, the CNM system can realize significant time savings and latency improvements for compute-intensive operations.

[0025] In some examples, a compute-near-memory system is programmed to arrange components of a reconfigurable compute fabric, such as the various HTFs described herein, into one or more synchronous flows. The reconfigurable compute fabric comprises one or more hardware flow controllers and one or more hardware compute elements that can be arranged to form one or more synchronous flows, as described herein.

[0026] A compute element comprises a compute element memory and a processor or other suitable logic circuitry forming a compute pipeline for processing received data. In some examples, a compute element comprises multiple parallel processing lanes, such as single instruction multiple data (SIMD) processing lanes. A compute element also comprises circuitry for sending and receiving synchronous and asynchronous messages to flow controllers, other compute elements, and other system components, as described herein. Example compute elements are described herein with respect to the tiles 504, 510, 512 of FIG. 5.

[0027] A flow controller comprises a processor or other logic circuitry for managing a synchronous flow, as described herein. The flow controller comprises circuitry for sending synchronous and asynchronous messages to compute elements, other flow controllers, and other system components, as described herein. In some examples, a flow controller is implemented using a tile base of one or more of the tiles 504, 510, 512 described herein.

[0028] A synchronous flow is a hardware arrangement in a reconfigurable compute fabric that comprises a hardware flow controller and an ordered synchronous data path between a set of one or more hardware compute elements. A synchronous flow may execute one or more threads of work. To execute a thread, the hardware components of the synchronous flow pass synchronous messages and execute a predetermined set of operations in the order of the synchronous flow.

[0029] The flow controller of a synchronous flow initiates a thread at the synchronous flow by providing a first synchronous message to a first compute element of the synchronous flow. The first synchronous message includes data (e.g., data for processing by the compute elements) and may also include control information providing the compute element with various flags and other configuration and/or instruction data. The first compute element is programmed to perform one or more operations, for example, based on the data provided by the first synchronous message. The first compute element generates a second synchronous message that may also include data and control information. For example, the second synchronous message may describe results of the one or more operations executed by the first compute element.

[0030] The first compute element provides the second synchronous message to a next compute element according to the order of the synchronous flow. The next compute element of the synchronous flow can be another compute element of the reconfigurable compute fabric, although in some examples, a single compute element can perform consecutive operations of the synchronous flow, meaning that a compute element of a synchronous flow can, in some arrangements, direct a synchronous message to itself. The next compute element is programmed to perform one or more operations, which may include preparing and sending a third synchronous message to a subsequent compute element.

[0031] The thread is completed when all of the compute elements of the synchronous flow have completed their programmed operations in the predetermined order of the synchronous flow. When a thread has completed, a pipeline of synchronous messages will have propagated between the various compute elements in the predetermined order of the synchronous flow, beginning at the flow controller. Because the arrangement is synchronous, the completion of a thread may occur in a fixed amount of time (e.g., a predictable number of clock cycles from when the flow controller initiates the synchronous flow).

[0032] Arrangements of HTFs to include synchronous flows may facilitate parallel processing. For example, a flow controller for a synchronous flow need not wait for one thread to complete before initiating an additional thread. Consider an example synchronous flow including a flow controller and multiple compute elements. The flow controller initiates a first thread by providing a synchronous message to the first compute element of the synchronous flow. The first compute element performs its processing and directs a second synchronous message to the next compute element, and so one. After the first compute element completes its processing and directs the synchronous message to the next compute element, the flow controller may initiate an additional thread at the synchronous flow, for example, by providing an additional synchronous message to the first compute element. [0033] Additional parallelization of synchronous flows at a reconfigurable compute fabric can be obtained by utilizing compute elements that operate at a predefined cadence or Spoke Count, such as the various tiles described herein. For example, a compute element may use a predetermined number of clock cycles to perform various operations, such as receiving synchronous messages, performing processing operations, sending synchronous messages, etc. The compute element may be configured to receive a new synchronous message and begin operations for a thread while operations from a previous thread are still propagating through a compute element. The new thread can be a different thread of the same synchronous flow of the previous thread or can be a thread of a different synchronous flow.

[0034] A synchronous flow can use an asynchronous fabric of the reconfigurable compute fabric to communicate with other synchronous flows and/or other components of the reconfigurable compute fabric using asynchronous messages. For example, a flow controller may receive an asynchronous message from a dispatch interface and/or from another flow controller instructing the flow controller to begin a thread at a synchronous flow. The dispatch interface may interface between the reconfigurable compute fabric and other system components. Also, in some examples, a synchronous flow may send an asynchronous message to the dispatch interface to indicate completion of a thread.

[0035] Asynchronous messages may also be used by various synchronous flows to access memory. For example, the reconfigurable compute fabric may include one or more memory interfaces. Memory interfaces are hardware components that are used by a synchronous flow or components thereof to access an external memory that is not part of the synchronous flow. A thread executed at a synchronous flow may include sending a read and/or write request to a memory interface. Because reads and writes are asynchronous, the thread that initiates a read or write request to the memory interface may not receive the results of the request. Instead, the results of a read or write request may be provided to a different thread executed at a different synchronous flow.

[0036] Consider an example reconfigurable compute fabric that is arranged with a first synchronous flow for initiating a read request and a second synchronous flow for receiving the results of the read request. A first thread at the first synchronous flow sends an asynchronous read request message to a memory interface. The first thread may also send an asynchronous continue-type message to the flow controller of the second synchronous flow, where the continue message indicates the read request. The memory interface acquires the requested data from the memory and directs the read data to an appropriate compute element of the second synchronous flow. The compute element then directs an asynchronous message to the second flow controller indicating that the data has been received. (In some examples, the memory interface provides the read data directly to the second flow controller.) After receiving an indication that the read data has been received, the second flow controller initiates a thread at the second synchronous flow to further process the result of the read request.

[0037] In some examples, a reconfigurable compute fabric, such as the H FTs described herein, is used to execute one or more loops, such as a set of nested loops. To execute a loop, the reconfigurable compute fabric utilizes flow controllers and compute elements arranged into one or more synchronous flows, as described herein. For example, the flow controller for a synchronous flow may initiate a thread at the synchronous flow for each iteration of a loop. Consider the simple example loop given by code segment [1] below:

[1] saveMem = x[i];

}

A flow controller may begin the example loop by initiating a first thread at the synchronous flow for an i=l loop iteration. In this example, an initial value for x[i-l] is passed by the flow controller to the first compute element with the payload data of the initial synchronous message. The compute element or elements of the synchronous flow determines a value for x[l] and returns the value for x[l] to the flow controller as a synchronous or asynchronous message. The flow controller then initiates a second thread at the synchronous flow for the i=2 loop iteration, passing the returned value of x[l] as x[i-l] in a synchronous message. This process continues until all iterations of the loop are completed and a value for x[10] is returned.

[0038] The example loop above uses a single synchronous flow for each iteration of the loop. In some examples, however, multiple synchronous flows can be used for each loop iteration. Consider the example loop given by code segment [2] below:

[2] for i=l, 10 { x[i]=i*y[i]; saveMem = x[i];

}

In this example, each loop iteration involves multiplying i by a value y[i] read from memory, and then writing the result to memory. Accordingly, each loop iteration includes an asynchronous memory read and an asynchronous memory write. As described herein, the memory read involves sending an asynchronous message to a memory interface and then waiting for the memory interface to reply with another asynchronous message including the requested data. Because the memory read is asynchronous, each loop iteration may use synchronous flow threads executing at two different synchronous flows. For the i=l loop iteration, a thread at a first synchronous flow sends an asynchronous message to the memory interface including a read request for the value of y[l]. The thread at the first synchronous flow may also send an asynchronous message to a second flow controller of the second synchronous flow instructing the second flow controller to expect the result of the read request (either directly from the memory interface or from a compute element of the second synchronous flow that has received the read data). The memory interface initiates a read of the value of y[l] and provides the value of y[l] to the second synchronous flow via an asynchronous message. Upon receiving an asynchronous message indicating that the read data is received, the second flow controller initiates a thread at the second synchronous flow. (The returned value of y[l] can be provided to the compute elements, for example, via synchronous communications of the thread and/or directly from the memory interface prior to initiation of the thread.) The second thread determines the value of x[l] and sends a synchronous message to the memory interface including a write request for x[l],

[0039] In some examples, the number of threads that a synchronous flow controller can initiate at a synchronous flow is limited by the resources of the components of the synchronous flow. For example, threads of a synchronous flow may write data to the various local compute element memories at the synchronous flow compute elements. If too many synchronous flow threads are initiated at the same time, some synchronous flow threads may lack sufficient local memory or other resources. This may prevent a synchronous flow thread from writing its data and/or cause it to overwrite the locally-stored data of other synchronous flow threads. [0040] To prevent this, a reconfigurable compute fabric may limit the number of synchronous flow threads that can be initiated at a given time. For example, the reconfigurable compute fabric may implement a pool of thread identifiers (IDs). A flow controller may determine that a thread ID is available before implementing a synchronous flow thread. In some examples, the synchronous messages of a synchronous flow thread may include an indication of the thread ID for a given thread.

[0041] When a synchronous flow thread is complete, it may send an asynchronous free message, for example, to the flow controller that initiated the synchronous flow thread. This indicates to the flow controller that the thread ID (and associated resources) for the completed synchronous flow thread are now available for use by a new synchronous flow thread.

[0042] In some examples, a single thread ID can be used at more than one synchronous flow at the same time. For example, when a single string of operations is executed at more than one synchronous flow, corresponding threads at the multiple synchronous flows may utilize the same thread ID. Consider again the example of code segment [2], Recall that for the i=l loop iteration, the thread at the first synchronous flow sends the asynchronous message to the memory interface with the read request for the value of y [1] and an asynchronous continue-type message to the second flow controller of the second synchronous flow. When the value of y [1] is received at the second synchronous flow, the second flow controller initiates a thread at the second synchronous flow. In this example, the i=l thread at the first synchronous flow and the i=l thread at the second synchronous flow may use a common thread ID (and associated resources).

[0043] Also, in some examples, thread IDs may be described by different levels, with different numbers of thread IDs (and corresponding resources) available at different levels. Consider a nested loop. Synchronous flow threads executing a first-level loop of the nested loop may be assigned a thread ID (and corresponding resources) from a first-level thread pool. Synchronous flow threads from a second-level loop may be assigned thread IDs (and corresponding resources) from a second-level thread pool, and so on.

[0044] In some examples, thread IDs may be tracked by flow controllers based on the asynchronous message received by the flow controller to instruct the flow controller to begin initiating synchronous flow threads. Consider an example in which a dispatch interface sends an asynchronous loop message to a flow controller of a synchronous flow. The loop message may indicate the number of loop iterations that are to be executed, the number of thread IDs available, and, in some examples, a condition or conditions under which a previously-used thread ID becomes available again. For example, a thread ID used by a flow controller to initiate a synchronous flow thread may be available again when that synchronous flow thread completes (if the single thread ID is used at just one synchronous flow) or when a subsequent synchronous flow thread at a different synchronous flow completes (if the single thread ID is used at more than one synchronous flow, as described herein).

[0045] A compute-near-memory system, or nodes or components of a compute-near-memory system, can include or use various memory devices, controllers, and interconnects, among other things. In an example, the system can comprise various interconnected nodes and the nodes, or groups of nodes, can be implemented using chiplets. Chiplets are an emerging technique for integrating various processing functionality. Generally, a chiplet system is made up of discrete chips (e.g., integrated circuits (ICs) on different substrate or die) that are integrated on an interposer and packaged together. This arrangement is distinct from single chips (e.g., ICs) that contain distinct device blocks (e.g., intellectual property (IP) blocks) on one substrate (e.g., single die), such as a system-on- a-chip (SoC), or discretely packaged devices integrated on a board. In general, chiplets provide production benefits than single die chips, including higher yields or reduced development costs. FIG. 6A and FIG. 6B, discussed below, illustrate generally an example of a chiplet system such as can comprise a compute-near-memory system.

[0046] The capacity and speed of a reconfigurable compute fabric may be limited by its hardware. For example, the number and type of synchronous flows that can be implemented at the reconfigurable compute fabric is limited by the number of flow controller components and the number of compute elements physically present at the hardware. Accordingly, it is desirable to program a reconfigurable compute fabric in a way that minimizes the number of synchronous flows, flow controllers, and compute elements that are used to perform various computing tasks.

[0047] In some examples, these and other challenges are addressed by increasing the capacity of the flow controllers to perform processing tasks without the need for compute elements. In various arrangements, this can reduce the number of synchronous flows needed to perform various tasks. [0048] In some examples, a flow controller is arranged to send loop-type asynchronous messages instructing another flow controller to execute a loop. The loop-type asynchronous message may indicate the loop to be executed and the number of loop iterations to be executed. In some examples, the loop-type asynchronous message also indicates the thread level and/or thread ID in use by the requesting flow controller, where the thread IDs for executing the iterations of the requested loop are at a lower level. In various examples, thread ID levels are denoted herein in the form T(i), where i indicates the thread level. For example, a synchronous flow thread sending an asynchronous loop-type message to a second flow controller may have a T(i+1) thread ID. Threads for executing iterations of the loop initiated at a second synchronous flow may have a lower level thread ID, such as T(i). [0049] To illustrate, consider the example loop given by code segment [3] below:

[3] for i=l, 10 { for j=l, 15{ accum +=j*i;

} }

One might implement this code using two synchronous flows. A first flow controller of a first synchronous flow would initiate a first synchronous flow thread with a level T1 thread ID to implement a first iteration of the first- level i loop. The first synchronous flow thread would send a loop-type asynchronous message to the second flow controller of the second synchronous flow. In response, the second flow controller would initiate a first synchronous flow thread at the second synchronous flow to execute iterations of the second-level j loop. The thread IDs of the threads at the second synchronous flow may be at level TO (e.g., less than threads at the first synchronous flow). If additional level TO thread IDs are available, the second flow controller continues to initiate threads at the second synchronous flow to perform additional iterations of the second-level j loop. When a TO level thread finishes, it sends one or more asynchronous messages to the second flow controller indicating that the thread is complete and that its thread ID is now available for use by another thread. [0050] When the second flow controller determines that all iterations of the second-level j loop have executed, it sends an asynchronous message to the first flow controller indicating that the second-level j loop is complete and that an additional T1 level thread ID is available to execute a new iteration of the level 1 i loop.

[0051] Note, however, that in example code segment [3], there are no operations in the first-level i loop other than the second-level j loop. Consider another technique for executing the nested loops of code segment [3] where the first flow controller can send a loop-type asynchronous message. Instead of initiating a synchronous flow thread to send the looptype asynchronous message to the second flow controller, the first flow controller may send the loop type asynchronous message itself. This may reduce or eliminate the compute elements used at the first synchronous flow.

[0052] In other examples, a flow controller is arranged to send continue- type instructing another flow controller to perform an action upon the occurrence of another action, such as the receipt of another asynchronous message. Consider code segment [4] below:

[4] for i=l, 10 { accum += i;

} saveMem = accum;

In this example, a first synchronous flow (or flow controller thereof) sends an asynchronous loop-type message to a second flow controller of a second synchronous flow. The first synchronous flow also sends a continue-type asynchronous message to a third flow controller of a third synchronous flow. The continue-type asynchronous message instructs the third flow controller to initiate a synchronous flow to begin the memory write of accum as shown after receiving an indication from the second flow controller that the loop has completed. When the second flow controller determines that all ten iterations of the loop have executed, it sends the complete-type asynchronous message to the third flow controller indicating that the loop is complete.

[0053] In examples described herein where the first flow controller can send the loop-type asynchronous message to the second flow controller and can send the continue-type asynchronous message to the third flow controller, the number of compute elements in the first synchronous flow may be reduced. [0054] In another example, a dispatch interface may be configured to send a loop-type asynchronous message to a flow controller. Recall again that the reconfigurable compute fabric may have a pool of available thread IDs (and associated resources) that may be distributed at different levels. For example, a reconfigurable compute fabric having pools of thread IDs at three levels, T2, Tl, and TO may be capable of executing a doubly-nested loop. A synchronous flow with thread IDs at the T2 level may call a synchronous flow with thread IDs at the Tl level to implement the first- level loop. The synchronous flow with thread IDs at the Tl level may call a synchronous flow with thread IDs at the TO level to implement the second- level loop. In example where a loop is initiated by the dispatch interface, an additional level of loop can be implemented. For example, the loop-type asynchronous message sent by the dispatch interface may be considered a single T3 level thread. In this case, the T2-level synchronous flow executes the first-level loop and the Tl-level synchronous flow executes the second- level loop, leaving the TO-level synchronous flow free to execute a third- level loop.

[0055] FIG. 1 illustrates generally a first example of a compute-near- memory system, or CNM system 102. The example of the CNM system 102 includes multiple different memory-compute nodes, such as can each include various compute-near-memory devices. Each node in the system can operate in its own operating system (OS) domain (e.g., Linux, among others). In an example, the nodes can exist collectively in a common OS domain of the CNM system 102.

[0056] The example of FIG. 1 includes an example of a first memorycompute node 104 of the CNM system 102. The CNM system 102 can have multiple nodes, such as including different instances of the first memorycompute node 104, that are coupled using a scale fabric 106. In an example, the architecture of the CNM system 102 can support scaling with up to n different memory-compute nodes (e.g., n=4096) using the scale fabric 106. As further discussed below, each node in the CNM system 102 can be an assembly of multiple devices.

[0057] The CNM system 102 can include a global controller for the various nodes in the system, or a particular memory-compute node in the system can optionally serve as a host or controller to one or multiple other memory-compute nodes in the same system. The various nodes in the CNM system 102 can thus be similarly or differently configured.

[0058] In an example, each node in the CNM system 102 can comprise a host system that uses a specified operating system. The operating system can be common or different among the various nodes in the CNM system 102. In the example of FIG. 1, the first memory-compute node 104 comprises a host system 108, a first switch 110, and a first memorycompute device 112. The host system 108 can comprise a processor, such as can include an X86, ARM, RISC-V, or other type of processor. The first switch 110 can be configured to facilitate communication between or among devices of the first memory-compute node 104 or of the CNM system 102, such as using a specialized or other communication protocol, generally referred to herein as a chip-to-chip protocol interface (CTCPI). That is, the CTCPI can include a specialized interface that is unique to the CNM system 102, or can include or use other interfaces such as the compute express link (CXL) interface, the peripheral component interconnect express (PCIe) interface, or the chiplet protocol interface (CPI), among others. The first switch 110 can include a switch configured to use the CTCPI. For example, the first switch 110 can include a CXL switch, a PCIe switch, a CPI switch, or other type of switch. In an example, the first switch 110 can be configured to couple differently configured endpoints. For example, the first switch 110 can be configured to convert packet formats, such as between PCIe and CPI formats, among others.

[0059] The CNM system 102 is described herein in various example configurations, such as comprising a system of nodes, and each node can comprise various chips (e.g., a processor, a switch, a memory device, etc.). In an example, the first memory-compute node 104 in the CNM system 102 can include various chips implemented using chiplets. In the below- discussed chiplet-based configuration of the CNM system 102, inter-chiplet communications, as well as additional communications within the system, can use a CPI network. The CPI network described herein is an example of the CTCPI, that is, as a chiplet-specific implementation of the CTCPI. As a result, the below-described structure, operations, and functionality of CPI can apply equally to structures, operations, and functions as may be otherwise implemented using non-chiplet-based CTCPI implementations. Unless expressly indicated otherwise, any discussion herein of CPI applies equally to CTCPI.

[0060] A CPI interface includes a packet-based network that supports virtual channels to enable a flexible and high-speed interaction between chiplets, such as can comprise portions of the first memory-compute node 104 or the CNM system 102. The CPI can enable bridging from intra-chiplet networks to a broader chiplet network. For example, the Advanced extensible Interface (AXI) is a specification for intra-chip communications. AXI specifications, however, cover a variety of physical design options, such as the number of physical channels, signal timing, power, etc. Within a single chip, these options are generally selected to meet design goals, such as power consumption, speed, etc. However, to achieve the flexibility of a chiplet-based memory-compute system, an adapter, such as using CPI, can interface between the various AXI design options that can be implemented in the various chiplets. By enabling a physical channel-to-virtual channel mapping and encapsulating time-based signaling with a packetized protocol, CPI can be used to bridge intra-chiplet networks, such as within a particular memory-compute node, across a broader chiplet network, such as across the first memory-compute node 104 or across the CNM system 102.

[0061] The CNM system 102 is scalable to include multiple-node configurations. That is, multiple different instances of the first memory- compute node 104, or of other differently configured memory-compute nodes, can be coupled using the scale fabric 106, to provide a scaled system. Each of the memory-compute nodes can run its own operating system and can be configured to jointly coordinate system-wide resource usage.

[0062] In the example of FIG. 1, the first switch 110 of the first memorycompute node 104 is coupled to the scale fabric 106. The scale fabric 106 can provide a switch (e.g., a CTCPI switch, a PCIe switch, a CPI switch, or other switch) that can facilitate communication among and between different memory-compute nodes. In an example, the scale fabric 106 can help various nodes communicate in a partitioned global address space (PGAS).

[0063] In an example, the first switch 110 from the first memory-compute node 104 is coupled to one or multiple different memory-compute devices, such as including the first memory-compute device 112. The first memorycompute device 112 can comprise a chiplet-based architecture referred to herein as a compute-near-memory (CNM) chiplet. A packaged version of the first memory-compute device 112 can include, for example, one or multiple CNM chiplets. The chiplets can be communicatively coupled using CTCPI for high bandwidth and low latency.

[0064] In the example of FIG. 1, the first memory-compute device 112 can include a network on chip (NOC) or first NOC 118. Generally, a NOC is an interconnection network within a device, connecting a particular set of endpoints. In FIG. 1, the first NOC 118 can provide communications and connectivity between the various memory, compute resources, and ports of the first memory-compute device 112.

[0065] In an example, the first NOC 118 can comprise a folded Clos topology, such as within each instance of a memory-compute device, or as a mesh that couples multiple memory-compute devices in a node. The Clos topology, such as can use multiple, smaller radix crossbars to provide functionality associated with a higher radix crossbar topology, offers various benefits. For example, the Clos topology can exhibit consistent latency and bisection bandwidth across the NOC.

[0066] The first NOC 118 can include various distinct switch types including hub switches, edge switches, and endpoint switches. Each of the switches can be constructed as crossbars that provide substantially uniform latency and bandwidth between input and output nodes. In an example, the endpoint switches and the edge switches can include two separate crossbars, one for traffic headed to the hub switches, and the other for traffic headed away from the hub switches. The hub switches can be constructed as a single crossbar that switches all inputs to all outputs.

[0067] In an example, the hub switches can have multiple ports each (e.g., four or six ports each), such as depending on whether the particular hub switch participates in inter-chip communications. A number of hub switches that participates in inter-chip communications can be set by an inter-chip bandwidth requirement.

[0068] The first NOC 118 can support various payloads (e.g., from 8 to 64- byte payloads; other payload sizes can similarly be used) between compute elements and memory. In an example, the first NOC 118 can be optimized for relatively smaller payloads (e.g., 8-16 bytes) to efficiently handle access to sparse data structures.

[0069] In an example, the first NOC 118 can be coupled to an external host via a first physical-layer interface 114, a PCIe subordinate module 116 or endpoint, and a PCIe principal module 126 or root port. That is, the first physical-layer interface 114 can include an interface to allow an external host processor to be coupled to the first memory-compute device 112. An external host processor can optionally be coupled to one or multiple different memory-compute devices, such as using a PCIe switch or other, native protocol switch. Communication with the external host processor through a PCIe-based switch can limit device-to-device communication to that supported by the switch. Communication through a memory-compute device-native protocol switch such as using CTCPI, in contrast, can allow for more full communication between or among different memory-compute devices, including support for a partitioned global address space, such as for creating threads of work and sending events.

[0070] In an example, the CTCPI protocol can be used by the first NOC 118 in the first memory-compute device 112, and the first switch 110 can include a CTCPI switch. The CTCPI switch can allow CTCPI packets to be transferred from a source memory-compute device, such as the first memory-compute device 112, to a different, destination memory-compute device (e.g., on the same or other node), such as without being converted to another packet format.

[0071] In an example, the first memory-compute device 112 can include an internal host processor 122. The internal host processor 122 can be configured to communicate with the first NOC 118 or other components or modules of the first memory-compute device 112, for example, using the internal PCIe principal module 126, which can help eliminate a physical layer that would consume time and energy. In an example, the internal host processor 122 can be based on a RISC-V ISA processor, and can use the first physical-layer interface 114 to communicate outside of the first memorycompute device 112, such as to other storage, networking, or other peripherals to the first memory-compute device 112. The internal host processor 122 can control the first memory-compute device 112 and can act as a proxy for operating system-related functionality. The internal host processor 122 can include a relatively small number of processing cores (e.g., 2-4 cores) and a host memory device 124 (e.g., comprising a DRAM module).

[0072] In an example, the internal host processor 122 can include PCI root ports. When the internal host processor 122 is in use, then one of its root ports can be connected to the PCIe subordinate module 116. Another of the root ports of the internal host processor 122 can be connected to the first physical-layer interface 114, such as to provide communication with external PCI peripherals. When the internal host processor 122 is disabled, then the PCIe subordinate module 116 can be coupled to the first physicallayer interface 114 to allow an external host processor to communicate with the first NOC 118. In an example of a system with multiple memorycompute devices, the first memory-compute device 112 can be configured to act as a system host or controller. In this example, the internal host processor 122 can be in use, and other instances of internal host processors in the respective other memory-compute devices can be disabled.

[0073] The internal host processor 122 can be configured at power-up of the first memory-compute device 112, such as to allow the host to initialize. In an example, the internal host processor 122 and its associated data paths (e.g., including the first physical-layer interface 114, the PCIe subordinate module 116, etc.) can be configured from input pins to the first memorycompute device 112. One or more of the pins can be used to enable or disable the internal host processor 122 and configure the PCI (or other) data paths accordingly.

[0074] In an example, the first NOC 118 can be coupled to the scale fabric 106 via a scale fabric interface module 136 and a second physical-layer interface 138. The scale fabric interface module 136, or SIF, can facilitate communication between the first memory-compute device 112 and a device space, such as a partitioned global address space (PGAS). The PGAS can be configured such that a particular memory-compute device, such as the first memory-compute device 112, can access memory or other resources on a different memory-compute device (e.g., on the same or different node), such as using a load/store paradigm. Various scalable fabric technologies can be used, including CTCPI, CPI, Gen-Z, PCI, or Ethernet bridged over CXL. The scale fabric 106 can be configured to support various packet formats. In an example, the scale fabric 106 supports orderless packet communications, or supports ordered packets such as can use a path identifier to spread bandwidth across multiple equivalent paths. The scale fabric 106 can generally support remote operations such as remote memory read, write, and other built-in atomics, remote memory atomics, remote memory-compute device send events, and remote memory-compute device call and return operations.

[0075] In an example, the first NOC 118 can be coupled to one or multiple different memory modules, such as including a first memory device 128. The first memory device 128 can include various kinds of memory devices, for example, LPDDR5 or GDDR6, among others. In the example of FIG. 1, the first NOC 118 can coordinate communications with the first memory device 128 via a memory controller 130 that can be dedicated to the particular memory module. In an example, the memory controller 130 can include a memory module cache and an atomic operations module. The atomic operations module can be configured to provide relatively high-throughput atomic operators, such as including integer and floating-point operators. The atomic operations module can be configured to apply its operators to data within the memory module cache (e.g., comprising SRAM memory side cache), thereby allowing back-to-back atomic operations using the same memory location, with minimal throughput degradation.

[0076] The memory module cache can provide storage for frequently accessed memory locations, such as without having to re-access the first memory device 128. In an example, the memory module cache can be configured to cache data only for a particular instance of the memory controller 130. In an example, the memory controller 130 includes a DRAM controller configured to interface with the first memory device 128, such as including DRAM devices. The memory controller 130 can provide access scheduling and bit error management, among other functions.

[0077] In an example, the first NOC 118 can be coupled to a hybrid threading processor (HTP 140), a hybrid threading fabric (HTF 142) and a host interface and dispatch module (HIF 120). The HIF 120 can be configured to facilitate access to host-based command request queues and response queues. In an example, the HIF 120 can dispatch new threads of execution on processor or compute elements of the HTP 140 or the HTF 142. In an example, the HIF 120 can be configured to maintain workload balance across the HTP 140 module and the HTF 142 module.

[0078] The hybrid threading processor, or HTP 140, can include an accelerator, such as can be based on a RISC-V instruction set. The HTP 140 can include a highly threaded, event-driven processor in which threads can be executed in single instruction rotation, such as to maintain high instruction throughput. The HTP 140 comprises relatively few custom instructions to support low-overhead threading capabilities, event send/receive, and shared memory atomic operators.

[0079] The hybrid threading fabric, or HTF 142, can include an accelerator, such as can include a non-von Neumann, coarse-grained, reconfigurable processor. The HTF 142 can be optimized for high-level language operations and data types (e.g., integer or floating point). In an example, the HTF 142 can support data flow computing. The HTF 142 can be configured to use substantially all of the memory bandwidth available on the first memorycompute device 112, such as when executing memory-bound compute kernels.

[0080] The HTP and HTF accelerators of the CNM system 102 can be programmed using various high-level, structured programming languages. For example, the HTP and HTF accelerators can be programmed using C/C++, such as using the LLVM compiler framework. The HTP accelerator can leverage an open source compiler environment, such as with various added custom instruction sets configured to improve memory access efficiency, provide a message passing mechanism, and manage events, among other things. In an example, the HTF accelerator can be designed to enable programming of the HTF 142 using a high-level programming language, and the compiler can generate a simulator configuration file or a binary file that runs on the HTF 142 hardware. The HTF 142 can provide a mid-level language for expressing algorithms precisely and concisely, while hiding configuration details of the HTF accelerator itself. In an example, the HTF accelerator tool chain can use an LLVM front-end compiler and the LLVM intermediate representation (IR) to interface with an HTF accelerator back end.

[0081] FIG. 2 illustrates generally an example of a memory subsystem 200 of a memory-compute device, according to an embodiment. The example of the memory subsystem 200 includes a controller 202, a programmable atomic unit 208, and a second NOC 206. The controller 202 can include or use the programmable atomic unit 208 to carry out operations using information in a memory device 204. In an example, the memory subsystem 200 comprises a portion of the first memory-compute device 112 from the example of FIG. 1, such as including portions of the first NOC 118 or of the memory controller 130.

[0082] In the example of FIG. 2, the second NOC 206 is coupled to the controller 202 and the controller 202 can include a memory control module 210, a local cache module 212, and a built-in atomics module 214. In an example, the built-in atomics module 214 can be configured to handle relatively simple, single-cycle, integer atomics. The built-in atomics module 214 can perform atomics at the same throughput as, for example, normal memory read or write operations. In an example, an atomic memory operation can include a combination of storing data to the memory, performing an atomic memory operation, and then responding with load data from the memory.

[0083] The local cache module 212, such as can include an SRAM cache, can be provided to help reduce latency for repetitively-accessed memory locations. In an example, the local cache module 212 can provide a read buffer for sub-memory line accesses. The local cache module 212 can be particularly beneficial for compute elements that have relatively small or no data caches.

[0084] The memory control module 210, such as can include a DRAM controller, can provide low-level request buffering and scheduling, such as to provide efficient access to the memory device 204, such as can include a DRAM device. In an example, the memory device 204 can include or use a GDDR6 DRAM device, such as having 16 Gb density and 64 Gb/sec peak bandwidth. Other devices can similarly be used.

[0085] In an example, the programmable atomic unit 208 can comprise single-cycle or multiple-cycle operator such as can be configured to perform integer addition or more complicated multiple-instruction operations such as bloom filter insert. In an example, the programmable atomic unit 208 can be configured to perform load and store-to-memory operations. The programmable atomic unit 208 can be configured to leverage the RISC-V ISA with a set of specialized instructions to facilitate interactions with the controller 202 to atomically perform user-defined operations.

[0086] Programmable atomic requests, such as received from an on-node or off-node host, can be routed to the programmable atomic unit 208 via the second NOC 206 and the controller 202. In an example, custom atomic operations (e.g., carried out by the programmable atomic unit 208) can be identical to built-in atomic operations (e.g., carried out by the built-in atomics module 214) except that a programmable atomic operation can be defined or programmed by the user rather than the system architect. In an example, programmable atomic request packets can be sent through the second NOC 206 to the controller 202, and the controller 202 can identify the request as a custom atomic. The controller 202 can then forward the identified request to the programmable atomic unit 208.

[0087] FIG. 3 illustrates generally an example of a programmable atomic unit 302 for use with a memory controller, according to an embodiment. In an example, the programmable atomic unit 302 can comprise or correspond to the programmable atomic unit 208 from the example of FIG. 2. That is, FIG. 3 illustrates components in an example of a programmable atomic unit 302 (PAU), such as those noted above with respect to FIG. 2 (e.g., in the programmable atomic unit 208), or to FIG. 1 (e.g., in an atomic operations module of the memory controller 130). As illustrated in FIG. 3, the programmable atomic unit 302 includes a PAU processor or PAU core 306, a PAU thread control 304, an instruction SRAM 308, a data cache 310, and a memory interface 312 to interface with the memory controller 314. In an example, the memory controller 314 comprises an example of the controller 202 from the example of FIG. 2.

[0088] In an example, the PAU core 306 is a pipelined processor such that multiple stages of different instructions are executed together per clock cycle. The PAU core 306 can include a barrel-multithreaded processor, with thread control 304 circuitry to switch between different register files (e.g., sets of registers containing current processing state) upon each clock cycle. This enables efficient context switching between currently executing threads. In an example, the PAU core 306 supports eight threads, resulting in eight register files. In an example, some or all of the register files are not integrated into the PAU core 306, but rather reside in a local data cache 310 or the instruction SRAM 308. This reduces circuit complexity in the PAU core 306 by eliminating the traditional flip-flops used for registers in such memories.

[0089] The local PAU memory can include instruction SRAM 308, such as can include instructions for various atomics. The instructions comprise sets of instructions to support various application-loaded atomic operators. When an atomic operator is requested, such as by an application chiplet, a set of instructions corresponding to the atomic operator are executed by the PAU core 306. In an example, the instruction SRAM 308 can be partitioned to establish the sets of instructions. In this example, the specific programmable atomic operator being requested by a requesting process can identify the programmable atomic operator by the partition number. The partition number can be established when the programmable atomic operator is registered with (e.g., loaded onto) the programmable atomic unit 302. Other metadata for the programmable instructions can be stored in memory (e.g., in partition tables) in memory local to the programmable atomic unit 302.

[0090] In an example, atomic operators manipulate the data cache 310, which is generally synchronized (e.g., flushed) when a thread for an atomic operator completes. Thus, aside from initial loading from the external memory, such as from the memory controller 314, latency can be reduced for most memory operations during execution of a programmable atomic operator thread.

[0091] A pipelined processor, such as the PAU core 306, can experience an issue when an executing thread attempts to issue a memory request if an underlying hazard condition would prevent such a request. Here, the memory request is to retrieve data from the memory controller 314, whether it be from a cache on the memory controller 314 or off-die memory. To resolve this issue, the PAU core 306 is configured to deny the memory request for a thread. Generally, the PAU core 306 or the thread control 304 can include circuitry to enable one or more thread rescheduling points in the pipeline. Here, the denial occurs at a point in the pipeline that is beyond (e.g., after) these thread rescheduling points. In an example, the hazard occurred beyond the rescheduling point. Here, a preceding instruction in the thread created the hazard after the memory request instruction passed the last thread rescheduling point prior to the pipeline stage in which the memory request could be made.

[0092] In an example, to deny the memory request, the PAU core 306 is configured to determine (e.g., detect) that there is a hazard on memory indicated in the memory request. Here, hazard denotes any condition such that allowing (e.g., performing) the memory request will result in an inconsistent state for the thread. In an example, the hazard is an in-flight memory request. Here, whether or not the data cache 310 includes data for the requested memory address, the presence of the in-flight memory request makes it uncertain what the data in the data cache 310 at that address should be. Thus, the thread must wait for the in-flight memory request to be completed to operate on current data. The hazard is cleared when the memory request completes.

[0093] In an example, the hazard is a dirty cache line in the data cache 310 for the requested memory address. Although the dirty cache line generally indicates that the data in the cache is current and the memory controller version of this data is not, an issue can arise on thread instructions that do not operate from the cache. An example of such an instruction uses a built- in atomic operator, or other separate hardware block, of the memory controller 314. In the context of a memory controller, the built-in atomic operators can be separate from the programmable atomic unit 302 and do not have access to the cache or data cache 310 inside the PAU. If the cache line is dirty, then the built-in atomic operator will not be operating on the most current data until the cache is flushed to synchronize the cache and the other or off-die memories. This same situation could occur with other hardware blocks of the memory controller, such as cryptography block, encoder, etc.

[0094] FIG. 4 illustrates an example of a hybrid threading processor (HTP) accelerator, or HTP accelerator 400. The HTP accelerator 400 can comprise a portion of a memory-compute device, according to an embodiment. In an example, the HTP accelerator 400 can include or comprise the HTP 140 from the example of FIG. 1. The HTP accelerator 400 includes, for example, a HTP core 402, an instruction cache 404, a data cache 406, a translation block 408, a memory interface 410, and a thread controller 412. The HTP accelerator 400 can further include a dispatch interface 414 and a NOC interface 416, such as for interfacing with a NOC such as the first NOC 118 from the example of FIG. 1, the second NOC 206 from the example of FIG. 2, or other NOC.

[0095] In an example, the HTP accelerator 400 includes a module that is based on a RISC-V instruction set, and can include a relatively small number of other or additional custom instructions to support a low-overhead, threading-capable Hybrid Threading (HT) language. The HTP accelerator 400 can include a highly-threaded processor core, the HTP core 402, in which, or with which, threads can be executed in a single instruction rotation, such as to maintain high instruction throughput. In an example, a thread can be paused when it waits for other, pending events to complete. This can allow the compute resources to be efficiently used on relevant work instead of polling. In an example, multiple-thread barrier synchronization can use efficient HTP-to-HTP and HTP-to/from-Host messaging, such as can allow thousands of threads to initialize or wake in, for example, tens of clock cycles.

[0096] In an example, the dispatch interface 414 can comprise a functional block of the HTP accelerator 400 for handling hardware-based thread management. That is, the dispatch interface 414 can manage dispatch of work to the HTP core 402 or other accelerators. Non-HTP accelerators, however, are generally not able to dispatch work. In an example, work dispatched from a host can use dispatch queues that reside in, e.g., host main memory (e.g., DRAM-based memory). Work dispatched from the HTP accelerator 400, on the other hand, can use dispatch queues that reside in SRAM, such as within the dispatches for the target HTP accelerator 400 within a particular node.

[0097] In an example, the HTP core 402 can comprise one or more cores that execute instructions on behalf of threads. That is, the HTP core 402 can include an instruction processing block. The HTP core 402 can further include, or can be coupled to, the thread controller 412. The thread controller 412 can provide thread control and state for each active thread within the HTP core 402. The data cache 406 can include cache for a host processor (e.g., for local and remote memory-compute devices, including for the HTP core 402), and the instruction cache 404 can include cache for use by the HTP core 402. In an example, the data cache 406 can be configured for read and write operations, and the instruction cache 404 can be configured for read only operations.

[0098] In an example, the data cache 406 is a small cache provided per hardware thread. The data cache 406 can temporarily store data for use by the owning thread. The data cache 406 can be managed by hardware or software in the HTP accelerator 400. For example, hardware can be configured to automatically allocate or evict lines as needed, as load and store operations are executed by the HTP core 402. Software, such as using RISC-V instructions, can determine which memory accesses should be cached, and when lines should be invalidated or written back to other memory locations.

[0099] Data caching on the HTP accelerator 400 has various benefits, including making larger accesses more efficient for the memory controller, allowing an executing thread to avoid stalling. However, there are situations when using the cache causes inefficiencies. An example includes accesses where data is accessed only once, and causes thrashing of the cache lines. To help address this problem, the HTP accelerator 400 can use a set of custom load instructions to force a load instruction to check for a cache hit, and on a cache miss to issue a memory request for the requested operand and not put the obtained data in the data cache 406. The HTP accelerator 400 thus includes various different types of load instructions, including non-cached and cache line loads. The non-cached load instructions use the cached data if dirty data is present in the cache. The non-cached load instructions ignore clean data in the cache, and do not write accessed data to the data cache. For cache line load instructions, the complete data cache line (e.g., comprising 64 bytes) can be loaded from memory into the data cache 406, and can load the addressed memory into a specified register. These loads can use the cached data if clean or dirty data is in the data cache 406. If the referenced memory location is not in the data cache 406, then the entire cache line can be accessed from memory. Use of the cache line load instructions can reduce cache misses when sequential memory locations are being referenced (such as memory copy operations) but can also waste memory and bandwidth at the NOC interface 416 if the referenced memory data is not used.

[0100] In an example, the HTP accelerator 400 includes a custom store instruction that is non-cached. The non-cached store instruction can help avoid thrashing the data cache 406 with write data that is not sequentially written to memory. [0101] In an example, the HTP accelerator 400 further includes a translation block 408. The translation block 408 can include a virtual-to- physical translation block for local memory of a memory-compute device. For example, a host processor, such as in the HTP core 402, can execute a load or store instruction, and the instruction can generate a virtual address. The virtual address can be translated to a physical address of the host processor, such as using a translation table from the translation block 408. The memory interface 410, for example, can include an interface between the HTP core 402 and the NOC interface 416.

[0102] FIG. 5 illustrates an example of a representation of a hybrid threading fabric (HTF), or HTF 500, of a memory-compute device, according to an embodiment. In an example, the HTF 500 can include or comprise the HTF 142 from the example of FIG. 1. The HTF 500 is a coarse-grained, reconfigurable compute fabric that can be optimized for high-level language operand types and operators (e.g., using C/C++ or other high-level language). In an example, the HTF 500 can include configurable, n-bit wide (e.g., 512-bit wide) data paths that interconnect hardened SIMD arithmetic units.

[0103] In an example, the HTF 500 comprises an HTF cluster 502 that includes multiple HTF tiles, including an example tile 504, or Tile N. Each HTF tile can implement one or more compute elements with local tile or compute element memory and arithmetic functions. For example, each tile can include a compute pipeline with support for integer and floating-point operations. In an example, the data path, compute elements, and other infrastructure can be implemented as hardened IP to provide maximum performance while minimizing power consumption and reconfiguration time.

[0104] In the example of FIG. 5, the tiles comprising the HTF cluster 502 are linearly arranged, and each tile in the cluster can be coupled to one or multiple other tiles in the HTF cluster 502. In the example of FIG. 5, the example tile 504, or Tile N, is coupled to four other tiles, including to a tile base of a tile 510 (e.g., Tile N-2) via the port labeled SF IN N-2, to an adjacent tile 512 (e.g., Tile N-l) via the port labeled SF IN N-l, and to a Tile N+l via the port labeled SF IN N+l and to a Tile N+2 via the port labeled SF IN N+2. The tile base is a hardware portion of a tile, such as tile 504, 510, 512, that is configured to initiate threads and/or otherwise act as a flow controller. The example tile 504 can be coupled to the same or other tiles via respective output ports, such as those labeled SF OUT N-l, SF OUT N-2, SF OUT N+l, and SF OUT N+2. In this example, the ordered list of names for the various tiles are notional indications of the positions of the tiles. In other examples, the tiles comprising the HTF cluster 502 can be arranged in a grid or other configuration, with each tile similarly coupled to one or several of its nearest neighbors in the grid. Tiles that are provided at an edge of a cluster can optionally have fewer connections to neighboring tiles. For example, Tile N-2, or the tile base of the tile 510 in the example of FIG. 5, can be coupled only to the adjacent tile 512 (Tile N-l) and to the example tile 504 (Tile N). Fewer or additional inter-tile connections can similarly be used.

[0105] The HTF cluster 502 can further include memory interface modules, including a first memory interface module 506. The memory interface modules can couple the HTF cluster 502 to a NOC, such as the first NOC 118. In an example, the memory interface modules can allow tiles within a cluster to make requests to other locations in a memory-compute system, such as in the same or different node in the system. That is, the representation of the HTF 500 can comprise a portion of a larger fabric that can be distributed across multiple nodes, such as with one or more HTF tiles or HTF clusters at each of the nodes. Requests can be made between tiles or nodes within the context of the larger fabric.

[0106] In the example of FIG. 5, the tiles in the HTF cluster 502 are coupled using a synchronous fabric (SF). The synchronous fabric can provide communication between a particular tile and its neighboring tiles in the HTF cluster 502, as described above. Each HTF cluster 502 can further include an asynchronous fabric (AF) that can provide communication among, e.g., the tiles in the cluster, the memory interfaces in the cluster, and a dispatch interface 508 in the cluster.

[0107] In an example, the synchronous fabric can exchange messages that include data and control information. The control information can include, among other things, instruction RAM address information or a thread identifier. The control information can be used to set up a data path, and a data message field can be selected as a source for the path. Generally, the control fields can be provided or received earlier, such that they can be used to configure the data path. For example, to help minimize any delay through the synchronous flow pipeline in a tile, the control information can arrive at a tile a few clock cycles before the data field. Various registers can be provided to help coordinate dataflow timing in the pipeline.

[0108] In an example, each tile in the HTF cluster 502 can include one or more tile memories. Each tile memory can have the same width as the data path (e.g., 512 bits) and can have a specified depth, such as in a range of 512 to 1024 elements. The tile memories can be used to store data that supports data path operations. The stored data can include constants loaded as part of a kernel's cluster configuration, for example, or can include variables calculated as part of the data flow. In an example, the tile memories can be written from the asynchronous fabric as a data transfer from another synchronous flow, or can include a result of a load operation such as initiated by another synchronous flow. The tile memory can be read via synchronous data path instruction execution in the synchronous flow.

[0109] In an example, each tile in an HTF cluster 502 can have a dedicated instruction RAM (INST RAM). In an example of an HTF cluster 502 with sixteen tiles, and respective instruction RAM instances with sixty-four entries, the cluster can allow algorithms to be mapped with up to 1024 m u Iti ply-sh ift and/or ALU operations. The various tiles can optionally be pipelined together, such as using the synchronous fabric, to allow data flow compute with minimal memory access, thus minimizing latency and reducing power consumption. In an example, the asynchronous fabric can allow memory references to proceed in parallel with computation, thereby providing more efficient streaming kernels. In an example, the various tiles can include built-in support for loop-based constructs, and can support nested looping kernels.

[0110] The synchronous fabric can allow multiple tiles (e.g., multiple compute elements thereof) to be pipelined, such as without a need for data queuing. Compute elements that participate in a synchronous flow can, for example, act as a single pipelined data path. A flow controller for a synchronous flow may be or include a tile (e.g., Tile N-2, in the example of FIG. 5), a compute element on a tile, and/or a tile base or controller on a tile. The flow controller of a synchronous flow can initiate a thread of work through the pipelined tiles. The flow controller can be responsible for starting a thread on a predefined cadence referred to herein as a Spoke Count. For example, if the Spoke Count is 3, then the tile base can initiate a thread every third clock cycle.

[0111] In an example, the synchronous flow comprises a set of connected compute elements in the HTF cluster 502. Execution of a thread can begin at the flow controller and can progress from the flow controller, via the synchronous fabric, to other compute elements (e.g., at other tiles in the same synchronous flow). The flow controller can provide the instruction to be executed for the first compute element. The first compute element can, by default, provide the same instruction for the other connected compute elements to execute. However, in some examples, the flow controller, or a subsequent compute element, can implement a conditional operation that conditionally specifies or uses an alternative instruction. The alternative instruction can be chosen by having the compute element's data path produce a Boolean conditional value, and then can use the Boolean value to choose between an instruction set of the current compute element and the alternate instruction. [0112] The asynchronous fabric can be used to perform operations that occur asynchronously relative to a synchronous flow. Each tile in the HTF cluster 502 can include an interface to the asynchronous fabric. The inbound interface can include, for example, a FIFO buffer or queue (e.g., AF IN QUEUE) to provide storage for message that cannot be immediately processed. Similarly, the outbound interface of the asynchronous fabric can include a FIFO buffer or queue (e.g., AF OUT QUEUE) to provide storage for messages that cannot be immediately sent out.

[0113] In an example, messages in the asynchronous fabric can be classified as data messages or control messages. Data messages can include a SIMD width data value that is written to either tile memory 0 (MEM_0) or memory 1 (MEM_1). Control messages can be configured to control thread creation, to free resources, or to issue external memory references.

[0114] A tile in the HTF cluster 502 can perform various compute operations for the HTF. The compute operations can be performed by configuring the data path within the tile and/or compute elements thereof. In an example, a tile includes two functional blocks that perform the compute operations for the tile: a Multiply and Shift Operation block (MS OP) and an Arithmetic, Logical, and Bit Operation block (ALB OP). The two blocks can be configured to perform pipelined operations such as a Multiply and Add, or a Shift and Add, among others.

[0115] In an example, each instance of a memory-compute device in a system can have a complete supported instruction set for its operator blocks (e.g., MS OP and ALB OP). In this case, binary compatibility can be realized across all devices in the system. However, in some examples, it can be helpful to maintain a base set of functionality and optional instruction set classes, such as to meet various design tradeoffs, such as die size. The approach can be similar to how the RISC-V instruction set has a base set and multiple optional instruction subsets.

[0116] In an example, the example tile 504 can include a Spoke RAM. The Spoke RAM can be used to specify which input (e.g., from among the four SF tile inputs and the tile base input) is the primary input for each clock cycle. The Spoke RAM read address input can originate at a counter that counts from zero to Spoke Count minus one. In an example, different spoke counts can be used on different tiles, such as within the same HTF cluster 502, to allow a number of slices, or unique tile instances, used by an inner loop to determine the performance of a particular application or instruction set. In an example, the Spoke RAM can specify when a synchronous input is to be written to a tile memory, for instance when multiple inputs for a particular tile instruction are used and one of the inputs arrives before the others. The early-arriving input can be written to the tile memory and can be later read when all of the inputs are available. In this example, the tile memory can be accessed as a FIFO memory, and FIFO read and write pointers can be stored in a register-based memory region or structure in the tile memory.

[0117] FIG. 6A and FIG. 6B illustrate generally an example of a chiplet system that can be used to implement one or more aspects of the CNM system 102. As similarly mentioned above, a node in the CNM system 102, or a device within a node in the CNM system 102, can include a chiplet- based architecture or compute-near-memory (CNM) chiplet. A packaged memory-compute device can include, for example, one, two, or four CNM chiplets. The chiplets can be interconnected using high-bandwidth, low- latency interconnects such as using a CPI interface. Generally, a chiplet system is made up of discrete modules (each a "chiplet") that are integrated on an interposer and, in many examples, are interconnected as desired through one or more established networks to provide a system with the desired functionality. The interposer and included chiplets can be packaged together to facilitate interconnection with other components of a larger system. Each chiplet can include one or more individual integrated circuits (ICs), or "chips," potentially in combination with discrete circuit components, and can be coupled to a respective substrate to facilitate attachment to the interposer. Most or all chiplets in a system can be individually configured for communication through established networks. [0118] The configuration of chiplets as individual modules of a system is distinct from such a system being implemented on single chips that contain distinct device blocks (e.g., intellectual property (IP) blocks) on one substrate (e.g., single die), such as a system-on-a-chip (SoC), or multiple discrete packaged devices integrated on a printed circuit board (PCB). In general, chiplets provide better performance (e.g., lower power consumption, reduced latency, etc.) than discrete packaged devices, and chiplets provide greater production benefits than single die chips. These production benefits can include higher yields or reduced development costs and time.

[0119] Chiplet systems can include, for example, one or more application (or processor) chiplets and one or more support chiplets. Here, the distinction between application and support chiplets is simply a reference to the likely design scenarios for the chiplet system. Thus, for example, a synthetic vision chiplet system can include, by way of example only, an application chiplet to produce the synthetic vision output along with support chiplets, such as a memory controller chiplet, a sensor interface chiplet, or a communication chiplet. In a typical use case, the synthetic vision designer can design the application chiplet and source the support chiplets from other parties. Thus, the design expenditure (e.g., in terms of time or complexity) is reduced because by avoiding the design and production of functionality embodied in the support chiplets.

[0120] Chiplets also support the tight integration of IP blocks that can otherwise be difficult, such as those manufactured using different processing technologies or using different feature sizes (or utilizing different contact technologies or spacings). Thus, multiple ICs or IC assemblies, with different physical, electrical, or communication characteristics can be assembled in a modular manner to provide an assembly with various desired functionalities. Chiplet systems can also facilitate adaptation to suit needs of different larger systems into which the chiplet system will be incorporated. In an example, ICs or other assemblies can be optimized for the power, speed, or heat generation for a specific function— as can happen with sensors— can be integrated with other devices more easily than attempting to do so on a single die. Additionally, by reducing the overall size of the die, the yield for chiplets tends to be higher than that of more complex, single die devices.

[0121] FIG. 6A and FIG. 6B illustrate generally an example of a chiplet system, according to an embodiment. FIG. 6A is a representation of the chiplet system 602 mounted on a peripheral board 604, that can be connected to a broader computer system by a peripheral component interconnect express (PCIe), for example. The chiplet system 602 includes a package substrate 606, an interposer 608, and four chiplets, an application chiplet 610, a host interface chiplet 612, a memory controller chiplet 614, and a memory device chiplet 616. Other systems can include many additional chiplets to provide additional functionalities as will be apparent from the following discussion. The package of the chiplet system 602 is illustrated with a lid or cover 618, though other packaging techniques and structures for the chiplet system can be used. FIG. 6B is a block diagram labeling the components in the chiplet system for clarity.

[0122] The application chiplet 610 is illustrated as including a chiplet system NOC 620 to support a chiplet network 622 for inter-chiplet communications. In example embodiments the chiplet system NOC 620 can be included on the application chiplet 610. In an example, the first NOC 118 from the example of FIG. 1 can be defined in response to selected support chiplets (e.g., host interface chiplet 612, memory controller chiplet 614, and memory device chiplet 616) thus enabling a designer to select an appropriate number or chiplet network connections or switches for the chiplet system NOC 620. In an example, the chiplet system NOC 620 can be located on a separate chiplet, or within the interposer 608. In examples as discussed herein, the chiplet system NOC 620 implements a chiplet protocol interface (CPI) network.

[0123] In an example, the chiplet system 602 can include or comprise a portion of the first memory-compute node 104 or the first memorycompute device 112. That is, the various blocks or components of the first memory-compute device 112 can include chiplets that can be mounted on the peripheral board 604, the package substrate 606, and the interposer 608. The interface components of the first memory-compute device 112 can comprise, generally, the host interface chiplet 612, the memory and memory control-related components of the first memory-compute device 112 can comprise, generally, the memory controller chiplet 614, the various accelerator and processor components of the first memory-compute device 112 can comprise, generally, the application chiplet 610 or instances thereof, and so on.

[0124] The CPI interface, such as can be used for communication between or among chiplets in a system, is a packet-based network that supports virtual channels to enable a flexible and high-speed interaction between chiplets. CPI enables bridging from intra-chiplet networks to the chiplet network 622. For example, the Advanced extensible Interface (AXI) is a widely used specification to design intra-chip communications. AXI specifications, however, cover a great variety of physical design options, such as the number of physical channels, signal timing, power, etc. Within a single chip, these options are generally selected to meet design goals, such as power consumption, speed, etc. However, to achieve the flexibility of the chiplet system, an adapter, such as CPI, is used to interface between the various AXI design options that can be implemented in the various chiplets. By enabling a physical channel to virtual channel mapping and encapsulating time-based signaling with a packetized protocol, CPI bridges intra-chiplet networks across the chiplet network 622.

[0125] CPI can use a variety of different physical layers to transmit packets. The physical layer can include simple conductive connections, or can include drivers to increase the voltage, or otherwise facilitate transmitting the signals over longer distances. An example of one such a physical layer can include the Advanced Interface Bus ( Al B), which in various examples, can be implemented in the interposer 608. AIB transmits and receives data using source synchronous data transfers with a forwarded clock. Packets are transferred across the AIB at single data rate (SDR) or dual data rate (DDR) with respect to the transmitted clock. Various channel widths are supported by AIB. The channel can be configured to have a symmetrical number of transmit (TX) and receive (RX) input/outputs (I/Os), or have a non-symmetrical number of transmitters and receivers (e.g., either all transmitters or all receivers). The channel can act as an AIB principal or subordinate depending on which chiplet provides the principal clock. AIB I/O cells support three clocking modes: asynchronous (i.e. nonclocked), SDR, and DDR. In various examples, the non-clocked mode is used for clocks and some control signals. The SDR mode can use dedicated SDR only I/O cells, or dual use SDR/DDR I/O cells.

[0126] In an example, CPI packet protocols (e.g., point-to-point or routable) can use symmetrical receive and transmit I/O cells within an AIB channel. The CPI streaming protocol allows more flexible use of the AIB I/O cells. In an example, an AIB channel for streaming mode can configure the I/O cells as all TX, all RX, or half TX and half RX. CPI packet protocols can use an AIB channel in either SDR or DDR operation modes. In an example, the AIB channel is configured in increments of 80 I/O cells (i.e. 40 TX and 40 RX) for SDR mode and 40 I/O cells for DDR mode. The CPI streaming protocol can use an AIB channel in either SDR or DDR operation modes. Here, in an example, the AIB channel is in increments of 40 I/O cells for both SDR and DDR modes. In an example, each AIB channel is assigned a unique interface identifier. The identifier is used during CPI reset and initialization to determine paired AIB channels across adjacent chiplets. In an example, the interface identifier is a 20-bit value comprising a seven-bit chiplet identifier, a seven-bit column identifier, and a six-bit link identifier. The AIB physical layer transmits the interface identifier using an AIB out-of-band shift register. The 20-bit interface identifier is transferred in both directions across an AIB interface using bits 32-51 of the shift registers.

[0127] AIB defines a stacked set of AIB channels as an AIB channel column. An AIB channel column has some number of AIB channels, plus an auxiliary channel. The auxiliary channel contains signals used for AIB initialization. All AIB channels (other than the auxiliary channel) within a column are of the same configuration (e.g., all TX, all RX, or half TX and half RX, as well as having the same number of data I/O signals). In an example, AIB channels are numbered in continuous increasing order starting with the AIB channel adjacent to the AUX channel. The AIB channel adjacent to the AUX is defined to be AIB channel zero.

[0128] Generally, CPI interfaces on individual chiplets can include serialization-deserialization (SERDES) hardware. SERDES interconnects work well for scenarios in which high-speed signaling with low signal count are desirable. SERDES, however, can result in additional power consumption and longer latencies for multiplexing and demultiplexing, error detection or correction (e.g., using block level cyclic redundancy checking (CRC)), linklevel retry, or forward error correction. However, when low latency or energy consumption is a primary concern for ultra-short reach, chiplet-to- chiplet interconnects, a parallel interface with clock rates that allow data transfer with minimal latency can be utilized. CPI includes elements to minimize both latency and energy consumption in these ultra-short reach chiplet interconnects.

[0129] For flow control, CPI employs a credit-based technique. A recipient, such as the application chiplet 610, provides a sender, such as the memory controller chiplet 614, with credits that represent available buffers. In an example, a CPI recipient includes a buffer for each virtual channel for a given time-unit of transmission. Thus, if the CPI recipient supports five messages in time and a single virtual channel, the recipient has five buffers arranged in five rows (e.g., one row for each unit time). If four virtual channels are supported, then the recipient has twenty buffers arranged in five rows. Each buffer holds the payload of one CPI packet.

[0130] When the sender transmits to the recipient, the sender decrements the available credits based on the transmission. Once all credits for the recipient are consumed, the sender stops sending packets to the recipient. This ensures that the recipient always has an available buffer to store the transmission.

[0131] As the recipient processes received packets and frees buffers, the recipient communicates the available buffer space back to the sender. This credit return can then be used by the sender allow transmitting of additional information.

[0132] The example of FIG. 6A includes a chiplet mesh network 624 that uses a direct, ch iplet-to-ch i plet technique without a need for the chiplet system NOC 620. The chiplet mesh network 624 can be implemented in CPI, or another chiplet-to-chiplet protocol. The chiplet mesh network 624 generally enables a pipeline of chiplets where one chiplet serves as the interface to the pipeline while other chiplets in the pipeline interface only with themselves.

[0133] Additionally, dedicated device interfaces, such as one or more industry standard memory interfaces (such as, for example, synchronous memory interfaces, such as DDR5, DDR6), can be used to connect a device to a chiplet. Connection of a chiplet system or individual chiplets to external devices (such as a larger system can be through a desired interface (for example, a PCIe interface). Such an external interface can be implemented, in an example, through the host interface chiplet 612, which in the depicted example, provides a PCIe interface external to chiplet system. Such dedicated chiplet interfaces 626 are generally employed when a convention or standard in the industry has converged on such an interface. The illustrated example of a Double Data Rate (DDR) interface connecting the memory controller chiplet 614 to a dynamic random access memory (DRAM) memory device chiplet 616 is just such an industry convention. [0134] Of the variety of possible support chiplets, the memory controller chiplet 614 is likely present in the chiplet system due to the near omnipresent use of storage for computer processing as well as sophisticated state-of-the-art for memory devices. Thus, using memory device chiplets 616 and memory controller chiplets 614 produced by others gives chiplet system designers access to robust products by sophisticated producers. Generally, the memory controller chiplet 614 provides a memory device-specific interface to read, write, or erase data. Often, the memory controller chiplet 614 can provide additional features, such as error detection, error correction, maintenance operations, or atomic operator execution. For some types of memory, maintenance operations tend to be specific to the memory device chiplet 616, such as garbage collection in NAND flash or storage class memories, temperature adjustments (e.g., cross temperature management) in NAND flash memories. In an example, the maintenance operations can include logical-to-physical (L2P) mapping or management to provide a level of indirection between the physical and logical representation of data. In other types of memory, for example DRAM, some memory operations, such as refresh can be controlled by a host processor or of a memory controller at some times, and at other times controlled by the DRAM memory device, or by logic associated with one or more DRAM devices, such as an interface chip (in an example, a buffer).

[0135] Atomic operators are a data manipulation that, for example, can be performed by the memory controller chiplet 614. In other chiplet systems, the atomic operators can be performed by other chiplets. For example, an atomic operator of "increment" can be specified in a command by the application chiplet 610, the command including a memory address and possibly an increment value. Upon receiving the command, the memory controller chiplet 614 retrieves a number from the specified memory address, increments the number by the amount specified in the command, and stores the result. Upon a successful completion, the memory controller chiplet 614 provides an indication of the command success to the application chiplet 610. Atomic operators avoid transmitting the data across the chiplet mesh network 624, resulting in lower latency execution of such commands.

[0136] Atomic operators can be classified as built-in atomics or programmable (e.g., custom) atomics. Built-in atomics are a finite set of operations that are immutably implemented in hardware. Programmable atomics are small programs that can execute on a programmable atomic unit (PAU) (e.g., a custom atomic unit (CAU)) of the memory controller chiplet 614.

[0137] The memory device chiplet 616 can be, or include any combination of, volatile memory devices or non-volatile memories. Examples of volatile memory devices include, but are not limited to, random access memory (RAM)— such as DRAM) synchronous DRAM (SDRAM), graphics double data rate type 6 SDRAM (GDDR6 SDRAM), among others. Examples of nonvolatile memory devices include, but are not limited to, negative-and- (NAND)-type flash memory, storage class memory (e.g., phase-change memory or memristor based technologies), ferroelectric RAM (FeRAM), among others. The illustrated example includes the memory device chiplet 616 as a chiplet, however, the device can reside elsewhere, such as in a different package on the peripheral board 604. For many applications, multiple memory device chiplets can be provided. In an example, these memory device chiplets can each implement one or multiple storage technologies, and may include integrated compute hosts. In an example, a memory chiplet can include, multiple stacked memory die of different technologies, for example one or more static random access memory (SRAM) devices stacked or otherwise in communication with one or more dynamic random access memory (DRAM) devices. In an example, the memory controller chiplet 614 can serve to coordinate operations between multiple memory chiplets in the chiplet system 602, for example, to use one or more memory chiplets in one or more levels of cache storage, and to use one or more additional memory chiplets as main memory. The chiplet system 602 can include multiple memory controller chiplet 614 instances, as can be used to provide memory control functionality for separate hosts, processors, sensors, networks, etc. A chiplet architecture, such as in the illustrated system, offers advantages in allowing adaptation to different memory storage technologies; and different memory interfaces, through updated chiplet configurations, such as without requiring redesign of the remainder of the system structure.

[0138] FIG. 7 illustrates generally an example of a chiplet-based implementation for a memory-compute device, according to an embodiment. The example includes an implementation with four compute- near-memory, or CNM, chiplets, and each of the CNM chiplets can include or comprise portions of the first memory-compute device 112 or the first memory-compute node 104 from the example of FIG. 1. The various portions can themselves include or comprise respective chiplets. The chiplet-based implementation can include or use CPI-based intra-system communications, as similarly discussed above in the example chiplet system 602 from FIG. 6A and FIG. 6B.

[0139] The example of FIG. 7 includes a first CNM package 700 comprising multiple chiplets. The first CNM package 700 includes a first chiplet 702, a second chiplet 704, a third chiplet 706, and a fourth chiplet 708 coupled to a CNM NOC hub 710. Each of the first through fourth chiplets can comprise instances of the same, or substantially the same, components or modules. For example, the chiplets can each include respective instances of an HTP accelerator, an HTF accelerator, and memory controllers for accessing internal or external memories.

[0140] In the example of FIG. 7, the first chiplet 702 includes a first NOC hub edge 714 coupled to the CNM NOC hub 710. The other chiplets in the first CNM package 700 similarly include NOC hub edges or endpoints. The switches in the NOC hub edges facilitate intra-chiplet, or intra-chiplet- system, communications via the CNM NOC hub 710. [0141] The first chiplet 702 can further include one or multiple memory controllers 716. The memory controllers 716 can correspond to respective different NOC endpoint switches interfaced with the first NOC hub edge 714. In an example, the memory controller 716 comprises the memory controller chiplet 614 or comprises the memory controller 130, or comprises the memory subsystem 200, or other memory-compute implementation. The memory controllers 716 can be coupled to respective different memory devices, for example including a first external memory module 712a or a second external memory module 712b. The external memory modules can include, e.g., GDDR6 memories that can be selectively accessed by the respective different chiplets in the system.

[0142] The first chiplet 702 can further include a first HTP chiplet 718 and second HTP chiplet 720, such as coupled to the first NOC hub edge 714 via respective different NOC endpoint switches. The HTP chiplets can correspond to HTP accelerators, such as the HTP 140 from the example of FIG. 1, or the HTP accelerator 400 from the example of FIG. 4. The HTP chiplets can communicate with the HTF chiplet 722. The HTF chiplet 722 can correspond to an HTF accelerator, such as the HTF 142 from the example of FIG. 1, or the HTF 500 from the example of FIG. 5.

[0143] The CNM NOC hub 710 can be coupled to NOC hub instances in other chiplets or other CNM packages by way of various interfaces and switches. For example, the CNM NOC hub 710 can be coupled to a CPI interface by way of multiple different NOC endpoints on the first CNM package 700. Each of the multiple different NOC endpoints can be coupled, for example, to a different node outside of the first CNM package 700. In an example, the CNM NOC hub 710 can be coupled to other peripherals, nodes, or devices using CTCPI or other, non-CPI protocols. For example, the first CNM package 700 can include a PCIe scale fabric interface (PCIE/SFI) or a CXL interface (CXL) configured to interface the first CNM package 700 with other devices. In an example, devices to which the first CNM package 700 is coupled using the various CPI, PCIe, CXL, or other fabric, can make up a common global address space.

[0144] In the example of FIG. 7, the first CNM package 700 includes a host interface 724 (HIF) and a host processor (R5). The host interface 724 can correspond to, for example, the HIF 120 from the example of FIG. 1. The host processor, or R5, can correspond to the internal host processor 122 from the example of FIG. 1. The host interface 724 can include a PCI interface for coupling the first CNM package 700 to other external devices or systems. In an example, work can be initiated on the first CNM package 700, or a tile cluster within the first CNM package 700, by the host interface 724. For example, the host interface 724 can be configured to command individual HTF tile clusters, such as among the various chiplets in the first CNM package 700, into and out of power/clock gate modes.

[0145] FIG. 8 illustrates an example tiling of memory-compute devices, according to an embodiment. In FIG. 8, a tiled chiplet example 800 includes four instances of different compute-near-memory clusters of chiplets, where the clusters are coupled together. Each instance of a compute-near- memory chiplet can itself include one or more constituent chiplets (e.g., host processor chiplets, memory device chiplets, interface chiplets, and so on).

[0146] The tiled chiplet example 800 includes, as one or multiple of its compute-near-memory (CNM) clusters, instances of the first CNM package 700 from the example of FIG. 7. For example, the tiled chiplet example 800 can include a first CNM cluster 802 that includes a first chiplet 810 (e.g., corresponding to the first chiplet 702), a second chiplet 812 (e.g., corresponding to the second chiplet 704), a third chiplet 814 (e.g., corresponding to the third chiplet 706), and a fourth chiplet 816 (e.g., corresponding to the fourth chiplet 708). The chiplets in the first CNM cluster 802 can be coupled to a common NOC hub, which in turn can be coupled to a NOC hub in an adjacent cluster or clusters (e.g., in a second CNM cluster 804 or a fourth CNM cluster 808). [0147] In the example of FIG. 8, the tiled chiplet example 800 includes the first CNM cluster 802, the second CNM cluster 804, a third CNM cluster 806, and the fourth CNM cluster 808. The various different CNM chiplets can be configured in a common address space such that the chiplets can allocate and share resources across the different tiles. In an example, the chiplets in the cluster can communicate with each other. For example, the first CNM cluster 802 can be communicatively coupled to the second CNM cluster 804 via an inter-chiplet CPI interface 818, and the first CNM cluster 802 can be communicatively coupled to the fourth CNM cluster 808 via another or the same CPI interface. The second CNM cluster 804 can be communicatively coupled to the third CNM cluster 806 via the same or other CPI interface, and so on.

[0148] In an example, one of the compute-near-memory chiplets in the tiled chiplet example 800 can include a host interface (e.g., corresponding to the host interface 724 from the example of FIG. 7) that is responsible for workload balancing across the tiled chiplet example 800. The host interface can facilitate access to host-based command request queues and response queues, such as from outside of the tiled chiplet example 800. The host interface can dispatch new threads of execution using hybrid threading processors and the hybrid threading fabric in one or more of the compute- near-memory chiplets in the tiled chiplet example 800.

[0149] FIG. 9 is a diagram showing one example of a workflow 900 for executing operations at a reconfigurable compute fabric, such as the HTF 142 or the HTF 500, using more than one synchronous flow. The workflow 900 of FIG. 9 utilizes a dispatch interface 902 and two synchronous flows 901, 903. The dispatch interface 902 is configured to receive instructions and/or data from other parts of a compute-near-memory system, as described herein. In some examples, the dispatch interface 902 is arranged in a manner similar to the dispatch interface 508 described herein.

[0150] The synchronous flows 901, 903 include respective flow controllers 904, 906 and compute elements arranged into ordered synchronous data paths 908, 910, as described herein. The synchronous data paths 908, 910, for example, may be between compute elements of the respective synchronous flows 901, 903, as described herein. The flow controllers 904, 906 and synchronous data paths 908, 910 are arranged from a reconfigurable compute fabric, such as the HTF 142 or the HTF 500 described herein.

[0151] The reconfigurable compute fabric may be arranged to implement the synchronous flows 901, 903 including flow controllers 904, 906 and various compute elements of the synchronous data paths 908, 910. For example, the flow controllers 904, 906 may be implemented using respective tile bases of the tiles 510, 512, etc. Synchronous data paths 908, 910 may be implemented between tiles 510, 512, etc., with the tiles 510, 512, etc. implementing the compute elements described herein. The tiles, tile bases, etc., as described herein and illustrated at FIG. 5 may be components of a hybrid threading fabric (HTF), such as the HTF 142, that is part of a memory-compute device, such as the memory-compute device 112 of FIG. 1. Other synchronous flows described herein may be similarly arranged using tiles and tile bases of HTFs as described herein.

[0152] In the workflow 900 of FIG. 9, the domain interface 902 initiates a processing task by providing an asynchronous message 912 to the flow controller 904 via the asynchronous fabric of the reconfigurable compute fabric. The asynchronous message 912 may be a continue-type message. The domain interface 902 may initiate the processing task based on its own programming and/or in response to an instruction from another component of the compute-near-memory system reconfigurable compute fabric. In some examples, the domain interface 902 also configures the reconfigurable compute fabric for the correct kernel configuration for executing the processing task including, for example, programming the various flow controllers 904, 906 and compute elements to implement the synchronous flows 901, 903. [0153] The asynchronous message 912 may instruct the flow controller 904 to begin a synchronous flow thread. The flow controller may initiate the thread by providing a first synchronous message to a first compute element of the synchronous data path 908, for example, when the spoke RAM selects the flow controller 904 for the synchronous data path 908 between components of the synchronous flow 901. The synchronous message may include data and control information, as described herein. The first compute element of the synchronous data path 908 begins execution of the thread by performing a processing task and generating a next synchronous message that is sent to a second compute element of the synchronous data path 908. The second compute element performs a processing task and generates a third synchronous message that is sent to a third compute element of the synchronous data path 908, and so on until the thread is executed at all of the compute elements of the synchronous data path 908.

[0154] The compute elements of the synchronous data path 908 are programmed to perform various operations while executing a thread including, for example, operations for configuring the synchronous flow 903. For example, during execution of a thread at the synchronous flow 901, one or more of the compute elements of the synchronous data path 908 send an asynchronous message 914 to the flow controller 906 of the synchronous flow 903. The asynchronous message 914 instructs the flow controller 906 to initiate a thread at the synchronous flow 903 conditioned upon the occurrence of at least one condition. In this example, the condition or conditions include the receipt of another asynchronous message from the synchronous flow 901 indicating that the thread is complete or that it is otherwise acceptable to initiate a thread at the synchronous flow 903. Later in the execution of the thread at the synchronous flow 901, a compute element of the synchronous data path 908 sends an asynchronous message 916 to the flow controller 906 of the synchronous flow 903. The asynchronous message 916 indicates that the thread at the synchronous flow 901 has completed and/or reached a point where a corresponding thread at the synchronous flow 903 may begin. In some examples, the asynchronous message 916 indicates a result of the processing performed during the thread at the synchronous flow 903.

[0155] Upon receiving the asynchronous message 916 (and when other conditions, if any, of the asynchronous message 914 are met), the flow controller 906 initiates a thread at the synchronous flow 903, for example, by sending a first synchronous message to a first compute element of the synchronous data path 910. In some examples, e.g., upon completion of the thread at the synchronous flow 903, a compute element of the synchronous data path 910 will send an asynchronous message 918 to the dispatch interface 902 to indicate that the requested processing task has been completed.

[0156] FIG. 10 is a diagram showing one example of a workflow 1000 for executing operations at a reconfigurable compute fabric, such as the HTF 142 or the HTF 500, in which synchronous flows 1001, 1003 interact with a memory interface 1016. In the workflow 1000, the domain interface 1002 initiates a processing task by providing an asynchronous message 1010 to the flow controller 1004 via the asynchronous fabric of the reconfigurable computing fabric. The asynchronous message 1010 instructs the flow controller 1004 to initiate a thread at the synchronous flow 1001 upon the occurrence of one or more conditions. (In some examples, the message 1010 may instruct the flow controller 1004 to begin execution of the thread immediately without waiting for the occurrence of any conditions).

[0157] The flow controller 1004 initiates the thread by providing a synchronous message to the first compute element of a synchronous data path 1022, as described herein. During the execution of the thread at the synchronous flow 1001, a compute element of the synchronous data path 1022 provides an asynchronous message 1012 to the flow controller 1006 of the synchronous flow 1003. The asynchronous message 1012 may indicate that the flow controller 1006 is to initiate a thread at the synchronous flow 1003 upon receiving an indication that data from the memory interface 1016 has been received.

[0158] As part of the execution of the thread at the synchronous flow 1001, another compute element of the synchronous data path 1022 provides an asynchronous message 1014 to the memory interface 1016. The asynchronous message 1014 comprises a read request to be directed to memory of the compute-near-memory system such as, for example, a memory element of a memory-compute device including the reconfigurable compute fabric. In some examples, the asynchronous message 1014 may also identify a compute element of the synchronous flow 1003 that is to receive the result of the read request.

[0159] The memory interface 1016 executes the read request at the appropriate system memory to obtain load data. When the load data is received, the memory interface 1016 directs an asynchronous message 1018 including the load data to the compute element of the synchronous flow 1003 indicated by the asynchronous message 1014 (e.g., one of the compute elements of the synchronous data path 1024). Upon receiving the load data, the compute element writes the load data to compute element memory and sends an asynchronous message 1017 to the flow controller 1006 of the synchronous flow 1003. The message 1017 indicates that the load data has been received. In some examples, the memory interface 1016 provides asynchronous messages including the load data, similar to the message 1018, to multiple compute elements of the synchronous flow 1003. Each compute element that has received the load data may provide a corresponding asynchronous message to the flow controller 1006, similar to the message 1017.

[0160] Upon receiving the asynchronous message 1017 (and after any other conditions of the continue-type message 1012 are met), the flow controller 1006 initiates a thread at the synchronous flow 1003, for example, by providing a synchronous message to the first compute element of a synchronous data path 1024. If more than one compute element of the synchronous flow 1003 was to receive the load data, then flow controller 1006 may initiate the thread when it has received all of the expected asynchronous messages from compute elements having received the load data. In some examples, upon completion of the thread at the synchronous flow 1003, one or more of the compute elements of the synchronous data path 1024 (e.g., the last compute element thereof) sends an asynchronous message 1020 indicating completion to the dispatch interface 1002.

[0161] FIG. 11 is a diagram showing one example of a workflow 1100 for executing a loop 1122 using a reconfigurable compute fabric, such as the HTF 142 or the HTF 500. The workflow 1100 is illustrated using three synchronous flows 1101, 1103, 1105. In this example, each iteration of the loop 1122 is executing using a single thread at a single synchronous flow 1103. In this examples, threads of the synchronous flow 1101 and the synchronous flow 1105 may execute threads having a common thread ID. Threads at the synchronous flow 1103 may have different thread IDs that may be at a lower level thread ID. For example, if the threads of the synchronous flows 1101 and 1105 are at a level Tl, the threads of the synchronous flow 1103 may be at a level TO.

[0162] A flow controller 1102 initiates a first thread at the synchronous flow 1101, for example, by providing a synchronous message to a first compute element of a synchronous data path 1108. Execution of the thread at the synchronous flow 1101 includes sending an asynchronous message 1114 to the flow controller 1106 of the synchronous flow 1105. The asynchronous message 1114 may be a continue-type message indicating that the flow controller 1106 is to execute a thread at the synchronous flow 1105 after receiving an indication that the loop 1122 has completed. In some examples, the asynchronous message 1114 also indicates the thread ID of the thread executing at the synchronous flow 1101 so that the corresponding thread at the synchronous flow 1105 may use the same thread ID (and associated resources). Execution of the thread at the synchronous flow 1101 also includes sending an asynchronous message 1116 to the flow controller 1104 of the synchronous flow 1103. The asynchronous message 1116 may be a loop-type message instructing the flow controller 1104 to execute a loop. For example, the asynchronous message 1116 may include an iteration count indicating the number of iterations in the loop.

[0163] The flow controller 1104 initiates a thread for the first iteration of the loop. The compute elements of a synchronous data path 1110 of the synchronous domain 1103 execute the first iteration of the loop. When the thread is completed, one or more compute elements sends an asynchronous message 1120 to the flow controller 1104 indicating that the first iteration of the loop has completed.

[0164] In some examples, the flow controller 1104, after initiating the first thread for the first iteration of the loop, continues to initiate additional threads for executing additional iterations of the loop. For example, if the flow controller 1104 has a Spoke Count of three, it may initiate a new thread at the synchronous flow 1103 for a new iteration of the loop every three clock cycles. This may occur without regard for whether the thread for the previous loop iteration has completed propagating through the compute elements of the synchronous data path 1110. In some examples, the various threads implementing different loop iterations can execute at the compute elements of the synchronous data path 1110 of the synchronous flow 1103 concurrently (e.g., offset by the Spoke Count).

[0165] When threads implementing all iterations of the loop 1122 have completed, the flow controller 1104 will have received asynchronous messages originating from all of the threads implementing the iterations. When this has occurred, the flow controller 1104 sends an asynchronous message 1118 to the flow controller 1106 indicating that the loop 1122 has completed. The flow controller 1106 may respond by initiating a thread at the compute elements 1112 to implement a next portion of the programming of the reconfigurable compute fabric. [0166] FIG. 11 shows various example elements that can be combined to implement loops in different contexts. First, although the example of FIG. 11 shows the loop 1122 being initiated by a thread at the synchronous flow 1101, in some examples, a loop can be initiated by another component, such as a dispatch interface. Also, although in the example of FIG. 11 the completion of the loop 1122 triggers another thread at another synchronous flow 1105, in some examples, the completion of a loop may indicate the completion of a processing task. Accordingly, the synchronous message 1118 indicating the completion of a loop may be provided to the dispatch interface in addition to or instead of being provided to another flow controller 1106 as shown in FIG. 11.

[0167] Also, FIG. 11 illustrates elements that can be used to implement a nested loop. For example, the thread at the synchronous flow 1101 that initiates the loop 1122 may be executing an iteration of an outer loop that calls the loop 1122 as an inner loop. Also, in some examples, each thread at the synchronous flow 1103 implementing an iteration of the loop 1122 may cause another synchronous flow (not shown) to implement another loop, for example, as threads at the synchronous flow 1101 cause the synchronous flow 1103 to execute the loop 1122.

[0168] In the example of FIG. 11, each iteration of the loop 1122 is executed using a single synchronous flow 1103. In some examples, however, execution of a loop iteration may utilize multiple synchronous flows. For example, executing a thread for an iteration of the loop 1122 may include sending an asynchronous message to a second flow controller and/or to a memory interface. The second flow controller initiates a thread at another synchronous flow (not shown) to execute another portion of the loop iteration. In this example, upon completion of a loop iteration, the synchronous flow that completes a loop iteration sends an asynchronous message to the flow controller that initiated the loop (e.g., flow controller 1104). [0169] In some examples, the arrangement of FIG. 11 may be modified such that the loop-type asynchronous message 1116 and the continue-type asynchronous message 1114 are sent by the flow controller 1102 instead of by a compute element of the synchronous flow 1101, as shown in FIG. 11. This may reduce the number compute elements used at the synchronous data path 1108. In some examples, it may permit compute elements for the synchronous flow 1101 to be omitted.

[0170] FIG. 12 is a diagram showing one example of a workflow 1200 for executing a triply-nested loop using a reconfigurable compute fabric, such as the HTF 142 or the HTF 500. The workflow 1200 is illustrated using a dispatch interface 1202 and five synchronous flows 1201, 1203, 1205, 1207, and 1209.

[0171] The workflow 1200 of FIG. 12 may be used to execute the example triply-nested loop given by code segment [5] below:

[5] for x=l, L { for y=l, M { for z=l, N { s+=x*y+z }

}

} saveMem = s;

The example triply-nested loop given by example code segment [5], and similar loops, may be common in some signal processing algorithms. In this example a calculation and accumulation is performed in the third-level loop, which in this example is the inner loop. After the loops complete, the result s is written to memory. In this example the first-level x loop is executed L times; the second-level y loop is executed M times; and third- level z loop is executed N times. In some examples, L, N, and M may be the same number, such as for example, 4096 or any other suitable number. In other examples, L, M, and N may have different values. [0172] In the example of FIG. 12, the reconfigurable compute fabric is configured to include three thread ID levels, T2, Tl, and TO. As will be shown, the arrangement of FIG. 12 implements the second-level y loop and the third-level z loop. Each execution of the workflow 1200 implements a single iteration of the first-level x loop. Accordingly, the workflow 1200 is executed L times to implement all three loops of the code segment [5], Further, additional instructions not shown in FIG. 12 are needed to save the result s to memory.

[0173] To begin the workflow 1200, the dispatch interface 1202 sends an asynchronous message 1214 to the flow controller 1204 of the synchronous flow 1201. The asynchronous message 1214 may be a continue-type message instructing the flow controller 1204 to initiate a thread at the synchronous flow 1201. The flow controller 1204 may respond by initiating a thread at the synchronous flow 1201 using a T2-level thread ID.

[0174] The thread at the synchronous flow 1201 sends an asynchronous message 1216 to the flow controller 1212 of the synchronous flow 1209 and an asynchronous message 1218 to the flow controller 1206 of the synchronous flow 1203. The asynchronous message 1218 is a loop-type message instructing the flow controller 1206 of the synchronous flow 1203 to execute the second-level y loop. For example, the asynchronous message 1218 may indicate that the second-level y loop is to be executed M times. The asynchronous message 1216 is a continue-type message that instructs the flow controller 1212 to begin a thread with a T2 level thread ID at the synchronous flow 1209 upon receiving a complete-type asynchronous message from the flow controller 1206 indicating that the second-level y loop is complete.

[0175] Upon receiving the asynchronous message 1218, the flow controller 1206 begins to initiate threads at the synchronous flow 1203 using Tl-level thread IDs. Threads at the synchronous flow 1203 send an asynchronous message 1220 to the flow controller 1210 and an asynchronous message 1222 to the flow controller 1208 of the synchronous flow 1208. The asynchronous message 1222 is a loop-type message instructing the flow controller 1206 to begin executing the third-level z loop. For example, the asynchronous message 1222 may indicate that the third-level z loop is to be executed N times. The asynchronous message 1228 instructs the flow controller 1210 to begin a thread at synchronous flow 1207 to complete the third-level z loop upon receiving an indication that all iterations of the z loop have executed.

[0176] Upon receiving the asynchronous message 1222, the flow controller 1208 begins executing threads for iterations of the third-level z loop, for example, using TO level thread IDs. Each thread at the synchronous flow 1205 may update the accumulation variable s as shown. Threads at the synchronous flow 1205, upon completion, may send an asynchronous message 1224 to the flow controller 1208, which may be a complete-type message indicating completion of the thread. In some examples, the threads at the synchronous flow 1205 also send an asynchronous free type message indicating that the TO level thread ID used by the completed thread is now free, allowing the flow controller 1208 to initiate an additional thread of the third-level z loop. In some examples, the asynchronous message 1224 also indicates that the thread ID used by the completed thread is now free.

[0177] When the flow controller 1208 determines that threads for all iterations of the third-level z loop have executed, it sends an asynchronous message 1226 to the flow controller 1210. The asynchronous message 1226 may be a complete-type message indicating that all iterations of the third- level z loop have executed. In response to the complete-type asynchronous message 1226 and to the continue-type asynchronous message 1220 received from the synchronous flow 1203, the flow controller 1210 initiates a thread at the synchronous flow 1207. The thread at the synchronous flow 1207 may utilize a thread ID at the T1 level. In some examples, the thread at the synchronous flow 1207 utilizes the same T1 level thread ID as the corresponding thread at the synchronous flow 1203 that sent the continue- type asynchronous message 1220.

[0178] The thread at the synchronous flow 1207 sends an asynchronous message 1228 to the flow controller 1206. The asynchronous message 1228 may be a complete message indicating that the third-level z loop has completed. The thread at synchronous flow 1207 may also send an asynchronous message 1230 to the flow controller 1206, which may be a free-type message indicating that the thread ID that initiated the completed instance of the third-level z loop is now available for additional use.

[0179] When the flow controller 1206 receives M instances of the asynchronous message 1228 indicating that the second-level y loop has executed M times (with M iterations of the third-level z loop for every iteration of the second-level y loop), it sends an asynchronous message 1232 to the flow controller 1212. IN response to the asynchronous message 1232 and the previous continue-type asynchronous message 1216, the flow controller 1212 may initiate a thread at the synchronous flow 1209, for example, using a T2 level thread ID. (In some examples, the thread ID used by threads at the synchronous flow 1209 is the same thread ID used by the thread at the synchronous flow 1201 that send the corresponding message 1216). The thread at the synchronous flow 1209 may send an asynchronous message 1234 to the dispatch interface 1202. The asynchronous message 1234 may be a complete-type message indicating that the second-level y loop has completed. As indicated herein, the dispatch interface may respond by re-executing the workflow 1200 an additional 4095 times to execute the first-level x loop.

[0180] FIG. 13 is a diagram showing another example of a workflow 1300 for executing a triply-nested loop using a reconfigurable compute fabric, such as the HTF 142 or the HTF 500. The workflow 1300 is illustrated using a dispatch interface 1302 and four synchronous flows 1303, 1305, 1307, and 1309. The example of FIG. 13 uses arrangements of the dispatch interface 1302 and flow controllers 1304, 1306, and 1308 that, as shown, reduces resource use. For example, the workflow 1300 of FIG. 13 may be used to execute all three loops of the example code segment [5], including the memory save, while still using one fewer synchronous flow and fewer messages than the workflow 1200.

[0181] In the example of FIG. 13, the reconfigurable compute fabric is configured to include three thread levels, T2, Tl, and TO. In the example of FIG. 13, however, the dispatch interface 1302 is configured to directly send a loop-type asynchronous message 1312 to the flow controller 1304. This may allow the dispatch interface 1302 to effectively operate as an additional thread level, which is referred to herein as thread level T3, with one available thread ID. The asynchronous message 1312 may indicate the number of iterations of the first-level x loop (in this example, L iterations).

[0182] The flow controller 1304 responds to the loop-type asynchronous message 1312 by initiating threads at the synchronous flow 1303 for executing iterations of the first-level x loop. The threads initiated at the synchronous flow 1303 may be assigned T2 level thread IDs. Each thread at the synchronous flow 1303 may send an asynchronous message 1314 to the flow controller 1306. The asynchronous message 1314 may be a loop-type message instructing the flow controller 1306 to begin initiating threads at the synchronous flow 1305 for executing iterations of the second-level y loop. The asynchronous message 1314 may indicate the number of iterations of the second-level y loop (in this example, M iterations).

[0183] The threads initiated at the synchronous flow 1305 may be assigned Tl level thread IDs. Each thread at the synchronous flow 1305 may send an asynchronous message 1316 to the flow controller 1308. The asynchronous message 1316 may be a loop-type message instructing the flow controller 1308 to begin initiating threads at the synchronous flow 1307 for executing iterations of the third-level z loop. The asynchronous message 1316 may indicate the number of iterations of the third-level z loop (in this example, N iterations). [0184] The threads initiated at the synchronous flow 1307 may be assigned TO level thread IDs. Threads at the synchronous flow 1307 may, for example, update the accumulation value s. Threads at the synchronous flow 1307 may also, when complete, send an asynchronous message 1318 to the flow controller 1308. The asynchronous message 1318 may be a complete and free type message indicating that the thread at the synchronous flow 1307 is complete and that the TO thread ID (and associated resources) used by the completed thread are now available for reassignment to another synchronous flow thread.

[0185] When the flow controller 1308 initiates N threads and receives N instances of the asynchronous message 1318, it may determine that an instance of the third-level z loop has completed (e.g., N iterations of the third-level z loop have completed). The flow controller 1308 sends an asynchronous message 1320 to the flow controller 1306 indicating that the instance of the third-level z loop requested by a particular loop asynchronous message 1316 has completed and that the T1 level thread ID of the thread at the synchronous flow 1305 that sent the loop asynchronous message 1316 is now free. Because the asynchronous message 1320 is sent by the flow controller 1308, it may not be necessary to include an additional synchronous flow to conclude the z loop, for example, as in the example of FIG. 12.

[0186] The flow controller 1306 may initiate threads for each of the M iterations of the second-level y loop and each of those threads may send an asynchronous message 1316 initiating an instance of the third-level z loop. Accordingly, the flow controller 1306 may, eventually, receive M instances of the asynchronous message 1320. Upon receiving M instances of the asynchronous message 1320, the flow controller 1306 may determine that all iterations of one instance of the second-level y loop have executed. In response, the flow controller 1306 sends an asynchronous message 1322 to the flow controller 1304. The asynchronous message 1322 may be a complete and free type message indicating that an instance of the second- level y loop is complete and that the T2 level thread ID of the thread at the synchronous flow 1303 that requested it is now free.

[0187] The flow controller 1308 may initiate threads for each of the L iterations of the first-level x loop and each of those threads may send an asynchronous message 1314 initiating an instance of the second-level y loop. Accordingly, the flow controller 1306 may, eventually, receive L instances of the asynchronous message 1322. Upon receiving L instances of the asynchronous message 1322, the flow controller 1308 may determine that all iterations of the first-level x loop have executed. In response, the flow controller 1308 sends an asynchronous message 1324 to the flow controller 1304. The asynchronous message 1322 may be a complete type message indicating that the triply-nested loop is complete. In response to the asynchronous message 1324 and the previously continue-type asynchronous message 1313 from the dispatch interface 1302, the flow controller 1310 initiates a thread at the synchronous flow 1309 to perform the save of the accumulation value s. Upon completion, the thread at the synchronous flow 1309 sends an asynchronous message 1326 to the dispatch interface 1302. The asynchronous message 1326 may be a complete and free type message indicating that the code segment [5] is complete and that the (single) T3 level thread ID is available.

[0188] FIG. 14 is a diagram showing yet another example of a workflow 1400 for executing a triply-nested loop using a reconfigurable compute fabric, such as the HTF 142 or the HTF 500. The workflow 1400 is similar to the workflow 1300 of FIG. 13 but includes an additional efficiency. In the workflow 1400, the loop-type asynchronous messages 1314 and 1316 initiating the second-level y and third-level z loop instances are sent directly by the respective flow controllers 1304 and 1306. This may reduce the number of compute elements needed in the synchronous flows 1303, 1305. In examples such as code segment [5] including loops with no operations, such as the first-level loop x and second-level loop y, synchronous flows 1303 and 1305 may not include any compute elements in this arrangement. [0189] FIG. 15 illustrates a block diagram of an example machine 1500 with which, in which, or by which any one or more of the techniques (e.g., methodologies) discussed herein can be implemented. Examples, as described herein, can include, or can operate by, logic or a number of components, or mechanisms in the machine 1500. Circuitry (e.g., processing circuitry) is a collection of circuits implemented in tangible entities of the machine 1500 that include hardware (e.g., simple circuits, gates, logic, etc.). Circuitry membership can be flexible over time. Circuitries include members that can, alone or in combination, perform specified operations when operating. In an example, hardware of the circuitry can be immutably designed to carry out a specific operation (e.g., hardwired). In an example, the hardware of the circuitry can include variably connected physical components (e.g., execution units, transistors, simple circuits, etc.) including a machine readable medium physically modified (e.g., magnetically, electrically, moveable placement of invariant massed particles, etc.) to encode instructions of the specific operation. In connecting the physical components, the underlying electrical properties of a hardware constituent are changed, for example, from an insulator to a conductor or vice versa. The instructions enable embedded hardware (e.g., the execution units or a loading mechanism) to create members of the circuitry in hardware via the variable connections to carry out portions of the specific operation when in operation. Accordingly, in an example, the machine-readable medium elements are part of the circuitry or are communicatively coupled to the other components of the circuitry when the device is operating. In an example, any of the physical components can be used in more than one member of more than one circuitry. For example, under operation, execution units can be used in a first circuit of a first circuitry at one point in time and reused by a second circuit in the first circuitry, or by a third circuit in a second circuitry at a different time. Additional examples of these components with respect to the machine 1500. [0190] In alternative embodiments, the machine 1500 can operate as a standalone device or can be connected (e.g., networked) to other machines. In a networked deployment, the machine 1500 can operate in the capacity of a server machine, a client machine, or both in server-client network environments. In an example, the machine 1500 can act as a peer machine in peer-to-peer (P2P) (or other distributed) network environment. The machine 1500 can be a personal computer (PC), a tablet PC, a set-top box (STB), a personal digital assistant (PDA), a mobile telephone, a web appliance, a network router, switch or bridge, or any machine capable of executing instructions (sequential or otherwise) that specify actions to be taken by that machine. Further, while only a single machine is illustrated, the term "machine" shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein, such as cloud computing, software as a service (SaaS), other computer cluster configurations.

[0191] The machine 1500 (e.g., computer system) can include a hardware processor 1502 (e.g., a central processing unit (CPU), a graphics processing unit (GPU), a hardware processor core, or any combination thereof), a main memory 1504, a static memory 1506 (e.g., memory or storage for firmware, microcode, a basic-input-output (BIOS), unified extensible firmware interface (UEFI), etc.), and mass storage device 1508 (e.g., hard drives, tape drives, flash storage, or other block devices) some or all of which can communicate with each other via an interlink 1530 (e.g., bus). The machine 1500 can further include a display device 1510, an alphanumeric input device 1512 (e.g., a keyboard), and a user interface (Ul) Navigation device 1514 (e.g., a mouse). In an example, the display device 1510, the input device 1512, and the Ul navigation device 1514 can be a touch screen display. The machine 1500 can additionally include a mass storage device 1508 (e.g., a drive unit), a signal generation device 1518 (e.g., a speaker), a network interface device 1520, and one or more sensor(s) 1516, such as a global positioning system (GPS) sensor, compass, accelerometer, or other sensor. The machine 1500 can include an output controller 1528, such as a serial (e.g., universal serial bus (USB), parallel, or other wired or wireless (e.g., infrared (IR), near field communication (NFC), etc.) connection to communicate or control one or more peripheral devices (e.g., a printer, card reader, etc.).

[0192] Registers of the hardware processor 1502, the main memory 1504, the static memory 1506, or the mass storage device 1508 can be, or include, a machine-readable media 1522 on which is stored one or more sets of data structures or instructions 1524 (e.g., software) embodying or used by any one or more of the techniques or functions described herein. The instructions 1524 can also reside, completely or at least partially, within any of registers of the hardware processor 1502, the main memory 1504, the static memory 1506, or the mass storage device 1508 during execution thereof by the machine 1500. In an example, one or any combination of the hardware processor 1502, the main memory 1504, the static memory 1506, or the mass storage device 1508 can constitute the machine-readable media 1522. While the machine-readable media 1522 is illustrated as a single medium, the term "machine-readable medium" can include a single medium or multiple media (e.g., a centralized or distributed database, or associated caches and servers) configured to store the one or more instructions 1524.

[0193] The term "machine readable medium" can include any medium that is capable of storing, encoding, or carrying instructions for execution by the machine 1500 and that cause the machine 1500 to perform any one or more of the techniques of the present disclosure, or that is capable of storing, encoding or carrying data structures used by or associated with such instructions. Non-limiting machine-readable medium examples can include solid-state memories, optical media, magnetic media, and signals (e.g., radio frequency signals, other photon-based signals, sound signals, etc.). In an example, a non-transitory machine-readable medium comprises a machine-readable medium with a plurality of particles having invariant (e.g., rest) mass, and thus are compositions of matter. Accordingly, non- transitory machine-readable media are machine readable media that do not include transitory propagating signals. Specific examples of non-transitory machine readable media can include: non-volatile memory, such as semiconductor memory devices (e.g., electrically programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM)) and flash memory devices; magnetic disks, such as internal hard disks and removable disks; magneto-optical disks; and CD-ROM and DVD- ROM disks.

[0194] In an example, information stored or otherwise provided on the machine-readable media 1522 can be representative of the instructions 1524, such as instructions 1524 themselves or a format from which the instructions 1524 can be derived. This format from which the instructions 1524 can be derived can include source code, encoded instructions (e.g., in compressed or encrypted form), packaged instructions (e.g., split into multiple packages), or the like. The information representative of the instructions 1524 in the machine-readable media 1522 can be processed by processing circuitry into the instructions to implement any of the operations discussed herein. For example, deriving the instructions 1524 from the information (e.g., processing by the processing circuitry) can include: compiling (e.g., from source code, object code, etc.), interpreting, loading, organizing (e.g., dynamically or statically linking), encoding, decoding, encrypting, unencrypting, packaging, unpackaging, or otherwise manipulating the information into the instructions 1524.

[0195] In an example, the derivation of the instructions 1524 can include assembly, compilation, or interpretation of the information (e.g., by the processing circuitry) to create the instructions 1524 from some intermediate or preprocessed format provided by the machine-readable media 1522. The information, when provided in multiple parts, can be combined, unpacked, and modified to create the instructions 1524. For example, the information can be in multiple compressed source code packages (or object code, or binary executable code, etc.) on one or several remote servers. The source code packages can be encrypted when in transit over a network and decrypted, uncompressed, assembled (e.g., linked) if necessary, and compiled or interpreted (e.g., into a library, stand-alone executable etc.) at a local machine, and executed by the local machine.

[0196] The instructions 1524 can be further transmitted or received over a communications network 1526 using a transmission medium via the network interface device 1520 utilizing any one of a number of transfer protocols (e.g., frame relay, internet protocol (IP), transmission control protocol (TCP), user datagram protocol (UDP), hypertext transfer protocol (HTTP), etc.). Example communication networks can include a local area network (LAN), a wide area network (WAN), a packet data network (e.g., the Internet), mobile telephone networks (e.g., cellular networks), plain old telephone (POTS) networks, and wireless data networks (e.g., Institute of Electrical and Electronics Engineers (IEEE) 802.11 family of standards known as Wi-Fi®, IEEE 802.16 family of standards known as WiMax®), IEEE 802.15.4 family of standards, peer-to-peer (P2P) networks, among others. In an example, the network interface device 1520 can include one or more physical jacks (e.g., Ethernet, coaxial, or phone jacks) or one or more antennas to connect to the network 1526. In an example, the network interface device 1520 can include a plurality of antennas to wirelessly communicate using at least one of single-input multiple-output (SIMO), multiple-input multiple-output (MIMO), or multiple-input single-output (MISO) techniques. The term "transmission medium" shall be taken to include any intangible medium that is capable of storing, encoding or carrying instructions for execution by the machine 1500, and includes digital or analog communications signals or other intangible medium to facilitate communication of such software. A transmission medium is a machine readable medium. [0197] To better illustrate the methods and apparatuses described herein, a non-limiting set of Example embodiments are set forth below as numerically identified Examples.

[0198] The above detailed description includes references to the accompanying drawings, which form a part of the detailed description. The drawings show, by way of illustration, specific embodiments in which the invention can be practiced. These embodiments are also referred to herein as "examples". Such examples can include elements in addition to those shown or described. However, the present inventors also contemplate examples in which only those elements shown or described are provided. Moreover, the present inventors also contemplate examples using any combination or permutation of those elements shown or described (or one or more aspects thereof), either with respect to a particular example (or one or more aspects thereof), or with respect to other examples (or one or more aspects thereof) shown or described herein.

[0199] In this document, the terms "a" or "an" are used, as is common in patent documents, to include one or more than one, independent of any other instances or usages of "at least one" or "one or more." In this document, the term "or" is used to refer to a nonexclusive or, such that "A or B" can include "A but not B," "B but not A," and "A and B," unless otherwise indicated. In the appended claims, the terms "including" and "in which" are used as the plain-English equivalents of the respective terms "comprising" and "wherein". Also, in the following claims, the terms "including" and "comprising" are open-ended, that is, a system, device, article, or process that includes elements in addition to those listed after such a term in a claim are still deemed to fall within the scope of that claim. Moreover, in the following claims, the terms "first," "second," and "third," etc. are used merely as labels, and are not intended to impose numerical requirements on their objects.

[0200] The above description is intended to be illustrative, and not restrictive. For example, the above-described examples (or one or more aspects thereof) can be used in combination with each other. Other embodiments can be used, such as by one of ordinary skill in the art upon reviewing the above description. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. Also, in the above Detailed Description, various features can be grouped together to streamline the disclosure. This should not be interpreted as intending that an unclaimed disclosed feature is essential to any claim. Rather, inventive subject matter can lie in less than all features of a particular disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment, and it is contemplated that such embodiments can be combined with each other in various combinations or permutations. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.