Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
LOW-K DIELECTRIC FUNCTIONAL IMPRINTING MATERIALS
Document Type and Number:
WIPO Patent Application WO/2006/044690
Kind Code:
A2
Abstract:
In a substantially planar circuit, the conductors are separated by an inorganic material with a dielectric constant of less than about 3.0. The dielectric layers are formed in a process that includes defining trenches and/or vias for the conductors by imprinting an initially planar layer of a radiation curable composition. The imprinting die is preferably UV transparent such that the composition is UV cured while the imprint die is in place. The curable composition includes an organic modified silicate compound and a second decomposable organic component, the latter forming nanometer scale pores as the organic compounds are subsequently decomposed to provide a polysilicate matrix. The pores reduce the effective dielectric constant from that of otherwise dense silicon dioxide.

Inventors:
XU FRANK Y (US)
CHUN JUN SUNG (US)
WATTS MICHAEL P C (US)
Application Number:
PCT/US2005/037063
Publication Date:
April 27, 2006
Filing Date:
October 14, 2005
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MOLECULAR IMPRINTS INC (US)
International Classes:
C03C15/00; G03C1/492
Foreign References:
US5895263A
US6200736B1
US20030054115A1
US5028511A
Attorney, Agent or Firm:
Brooks, Kenneth C. (Austin, TX, US)
Download PDF:
Claims:
Claims
1. A process for forming an integrated circuit, the process comprising: a) providing an imprinting composition that comprises; i) UV curable organic modified silicate, and ii) decomposable organic compound, b) imprinting a circuit pattern in the remaining composition with a mold. c) UV curing the remaining imprinted composition, d) removing the imprint die, e) heating the composition to condense the organic modified silicate and decompose the decomposable compound to form a porous patterned dielectric layer.
2. The process of claim 1 wherein the mold is UV transparent and the UV curing exposure is through the mold.
3. The process of claim 1 wherein the UV exposure is through the substrate.
4. The process of claim 1 wherein the imprinting composition comprises a solvent and includes the steps of: a) spin coating the composition on a substrate, b) removing the solvent, prior to the step of imprinting the circuit pattern in the composition.
5. The process of claim 1 wherein the imprinting composition further comprises a fluorosurfactant.
6. The process of claim 1 wherein the decomposable organic compound is a polycaprolactone.
7. The process of claim 1 wherein the decomposable organic compound is chemically bounded to the UV curable organic modified silicate.
8. A composition of matter that comprises: a) a UV curable organic modified silicate, and b) a decomposable organic compound, c) wherein the viscosity of said compound is less than about 200,000 cps.
9. A composition of matter according to claim 8 that further comprises a fluorosurfactant.
10. A composition of matter according to claim 9 wherein the decomposable organic compound is a polycaprolactone.
11. A composition of matter according to claim 9 wherein the decomposable organic compound is chemically bounded to the UV curable organic modified silicate.
Description:
LOW-K DIELECTRIC FUNCTIONAL IMPRINTING MATERIALS

STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT

[0001] The United States; government has a paid-up license in this invention and the right in limited circumstance to require the patent owner to license others on reasonable terms as provided by the terms of 70NANB4H3012 awarded by National Institute of Standards (NIST) ATP Award.

BACKGROUND OF INVENTION [0002] The present invention relates to a method or material of fabricating integrated circuits, and in particular to a method of forming an integrated circuit on a substrate having a low dielectric constant.

[0003] There is a continuing desire in the microelectronics industry to increase the circuit density in multilevel integrated circuit devices, e.g., memory and logic chips, thereby increasing their performance and reducing their cost. In order to accomplish this goal, it is also desirable to reduce the minimum feature size on the chip, e.g., circuit line width, and also to decrease the dielectric constant of the interposed dielectric material to enable closer spacing of circuit lines without an increase in crosstalk and capacitive coupling. Further, there is a desire to reduce the dielectric constant of the dielectric materials such as utilized in the back end of the line (BEOL) portion of integrated circuit devices, which contain input/output circuitry, to reduce the requisite drive current and power consumption for the device.

[0004] The most commonly used dielectric material in integrated circuits is silicon dioxide, which has a dielectric constant of about 4.0. Silicon dioxide is readily grown or formed on the surface of a planar silicon wafer that is used to form the majority of the current semiconductor devices. Silicon dioxide has the requisite mechanical and thermal properties to withstand processing operations and thermal cycling associated with semiconductor manufacturing. However, it is desired that dielectric materials for future integrated circuit devices exhibit a lower dielectric constant (e.g., <3.0) than exhibited by current silicon dioxide. As inorganic materials have an inherent

limitation to dielectric constants of lower than about three, several types of alternative materials have been developed to achieve lower dielectric constants. A number of these alternative materials are organic polymers, which, if at least partially fluorinated, can have a dielectric constant of less than about three. However, the development of appropriate organic polymers, as well as their depositions and patterning methods, poses significant challenges. The selection or choice of an organic material is frequently limited by the need for higher temperature steps in other aspects of the process, such as metallization or semiconductor fabrication. Another type of alternative material is an inorganic material with dispersed micro voids or pores to achieve a lower effective dielectric constant. Efforts to develop such materials are generally described in J. H. Golden, C. J. Hawker and P. S. Ho, "Designing Porous low-K Dielectrics," Semiconductor International, May 2001. Further, U.S. Patent No. 5,895,263, to Carter, et al. and issued on April 20, 1999, teaches a process for forming an integrated circuit device comprising (i) a substrate; (ii) metallic circuit lines positioned on the substrate and (iii) a dielectric material positioned on the circuit lines. The dielectric material comprises porous organic modified polysilica.

[0005] Although porous inorganic materials can inherently withstand higher processing temperatures, like other dielectric materials, additional challenges arise due to the complexity of the patterning processes. Lithographic techniques are often employed in device micro fabrication. Traditionally, photolithography has been used to define or remove a portion of the dielectric material after it is deposited on the substrate. See S. Wolf et al. , Silicon Processing for the VLSI Bra, Volume 1--Process Technology, (1986), pp. 407-413. Using microcircuit fabrication as an example, photo resist materials are applied to a dielectric material after deposition on a planar substrate. Next, the resist layer is selectively exposed to a form of radiation. An exposure tool and mask are often used to affect the desired selective exposure. Patterns in the resist are formed when the dielectric layer undergoes a subsequent "developing" step. The areas of resist remaining after development protect the dielectric and substrate regions that they cover. Locations from which resist has been removed can be subjected to a variety of additive (e.g., lift-off) or subtractive (e.g., etching) processes that transfer the pattern onto the substrate surface. However, photolithography has inherent size limitations that demand the

use of shorter wavelength sources and more sophisticated optics to reduce the line width and feature sizes in the micro circuitry.

[0006] Thus in the process of U.S. Patent No. 5,895,263 the low-K dielectric layer must be first formed, and then patterned prior to deposition of the conductor material. The plurality of required processing steps inherently increases the processing time, resulting in higher costs as well as generally reduced product yield.

[0007] Further, as it is desirable to decrease the size of circuit features, that is line width and spacing between conductors, the alternative inorganic materials must be capable of deposition with pore sizes that are a fraction of the size of these features.

[0008] It is therefore a first object of the present invention to provide an improved method of fabricating an integrated circuit device comprising a low dielectric constant material between conductive lines and/or vias.

[0009] It is another object of the present invention to provide a process to deposit a patterned low dielectric constant inorganic material on a planar substrate in a minimum number of process steps.

[0010] It is a further object of the invention to provide a robust, repeatable process for depositing a patterned low dielectric constant inorganic material.

[0011] Other objects and advantages will be apparent from the following disclosure.

SUMMARY OF INVENTION [0012] In the present invention, the aforementioned objects are achieved by deploying imprint lithography to mold a relief image corresponding to microcircuit features on a substantially planar substrate. The imprint molding process deploys a polymerizable resin composition that is subsequently converted to a porous low dielectric constant inorganic material.

[0013] The method of forming a relief image involves at least the steps of covering a substantially planar substrate with a polymerizable fluid composition; then contacting the polymerizable fluid composition with a mold having a relief structure formed therein such that the polymerizable fluid composition substantially fills the relief structure

in the mold; subjecting the polymerizable fluid composition to conditions to polymerize the fluid composition and form a solidified polymeric material therefrom on the substrate; separating the mold from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The polymerizable composition is preferably a UV curable organic modified silicate that comprises a decomposable organic component known as a porogen. Pores remain as the organic porogen decomposes during the subsequent processing that converts the polymerized organic modified silicate to an inorganic material.

[0014] As the UV curing is preferably conducted through a mold that is UV transparent, another object of achieving a robust process for imprinting includes using a UV curable polymerizable fluid that includes an organic modified silicate, a decomposable organic compound, and a fluorosurfactant to improve the release of the cured composition from the imprint-molding tool.

[0015] Other objects of the invention are achieved by using a process that includes the steps of providing a composition that includes a UV curable organic modified silicate, a decomposable organic compound and a solvent; then spin coating the composition on a substrate, removing the solvent, imprinting a circuit pattern in the remaining composition, UV curing the remaining composition, heating the composition to condense the organic modified silicate and decompose the decomposable polymer to form a porous patterned dielectric layer, and depositing metal conductors within the patterns formed in the porous dielectric material.

[0016] The above and other objects, effects, features, and advantages of the present invention will become more apparent from the following description of the embodiments thereof taken in conjunction with the accompanying drawings.

BRIEF DESCRIPTION OF DRAWINGS

[0017] FIG. 1 is schematic sectional elevation showing the mold with respect to the substrate, as the first step in the process of imprinting a low dielectric constant material. [0018] FIG. 2 is schematic sectional elevation showing the disposition of the polymerizable fluid between the mold and the

substrate, as the next step in the process of imprinting a low dielectric constant material.

[0019] FIG. 3 is schematic sectional elevation showing the polymerized fluid disposed on the substrate after removal of the mold in a subsequent step in the process of imprinting a low dielectric constant material.

[0020] FIG. 4 is schematic sectional elevation showing the polymerized material disposed on the substrate after conversion to a porous dielectric material in a subsequent step in the process of imprinting a low dielectric constant material.

[0021] FIG. 5 is schematic sectional elevation showing the conductive material deposited over the porous dielectric material in a subsequent step in the process of forming a circuit.

[0022] FIG. 6 is schematic sectional elevation showing the circuit formed by planarizing the conductive material deposited in the previous step.

[0023] FIG.7 is schematic illustration of alternative methods for creating a variety of organically modified silicates that are optionally used to form the polymerizable fluid. [0024] FIG. 8 is schematic illustration of the chemical reactions that occur during the polymerization of the fluid and the subsequent conversion to a porous dielectric material in FIGs. 2, 3 and 4.

DETAILED DESCRIPTION

[0025] Referring to FIGs. 1 through 8, wherein like reference numerals refer to like components in the various views, there is illustrated therein a new and improved circuit having a low dielectric constant, generally denominated 100 herein.

[0026] Methods of imprinting to form a relief pattern are taught in United States Patent No. 6,334,960, to Wilson, et al. , which issued on January 1, 2002.

[0027] In the instant invention, the polymerizable material is a modified silicate having organic functional groups that upon exposure to actinic radiation cross-link or react to form a non-fluid material replicating the shape of the mold. The cured or polymerized organic

modified silicate is subsequently, after removal of the mold, converted to an inorganic silicate upon thermal decomposition of the organic functional groups therein. The polymerizable material also contains one or more components, which upon decomposition form pores or voids in the inorganic silicate. As shown in further detail in FIGs. 7 and 8, the pore forming material may be a separate component mixed, dissolved or dispersed on the polymerizable materials, or can also be chemically bonding to the organic modified silicate. After conversion of the polymerizable fluid to a solid that replicates the mold, one or more steps are used to decompose the organic groups bound to the silicate and the pore forming material, as well as to substantially complete the conversion to an inorganic silicate wherein water is condensed from the remaining hydroxyl group bound to silicon forming Si-O-Si linkages. The process is carried out in a manner such that the silicate network forms around the decomposing pore forming material, leaving nanometer scale voids or pores behind. The polymerizable fluid composition may also comprise a diluent, and other materials employed in polymerizable fluids such as, but not limited, to catalysts and photo initiators, as will be further described below with respect to preferred embodiments. [0028] The mold used in the methods of the invention may be formed from various conventional materials, such as, but not limited to, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above. Preferably, the material is selected such that the mold is UV transparent, which allows the polymerizable fluid composition covered by the mold to be exposed to an external radiation source. Thus, quartz molds are most preferred. To facilitate release of the mold from the solid polymeric material, the mold may be treated with a surface modifying agent. Surface modifying agents that may be employed include those that are known in the art. An example of a surface modifying agent is a fluorocarbon silylating agent. These surface modifying agents or release materials may be applied, for example, from plasma sources, a Chemical Vapor Deposition method (CVD) such as analogs of the Parylene deposition process, or a treatment involving deposition from a solution.

[0029] The methods of the invention will now be described in detail to the accompanying drawing in which a preferred embodiment of the invention is shown. FIG. 1 illustrates the first step in the step-by- step sequence for carrying out the method of the invention, which is

depositing a low-k dielectric material and circuit pattern on a substrate 10. As shown in FIG. 1, a UV transparent mold 30 is brought into proximity with substrate 10 such that gap 40 is formed between the bottom surface 31 of mold 30 and substrate 10. Mold 30 has a nanoscale relief structure formed therein having an aspect ratio preferably ranging from about 0.1 to about 10, and more preferably from about 0.5 to about 2. Specifically, the relief structures in the mold typically consist of a plurality of protrusions 41, each pair of which defines a recession 43 therebetween. An exemplary width W 1 and depth dx of protrusions 41 and recessions 43 may be from about 10 nm to about 5,000 microns. However, it should be understood that projections 41 and recessions 43 may correspond to virtually any feature.

[0030] Referring to FIG. 2, the polymerizable fluid composition preferably has a low viscosity such that it may fill recessions 43 in an efficient manner to form a contiguous layer of composition 50 over substrate 10. For example, the viscosity of composition 50 may range from about 0.01 centipoises (cps) to about 100 cps measured at 25 0 C and more preferably from about 0.01 cps to about 5 cps measured at this temperature. An exemplary technique for depositing composition 50 employs depositing one or more spaced-apart discrete droplets (not shown) of composition 50 on substrate 10. Typically the droplets (not shown) are arranged in a pattern that minimizes trapping of gases when the droplets (not shown) of composition 50 merge to form a contiguous layer over substrate 10 by interaction with mold 30, e.g., mechanical contact, electrical contact and the like. In an exemplary embodiment, droplets (not shown) of composition 50 are disposed on substrate 10. Contact between mold 30 and the droplets (not shown) is effectuated. In response, composition 50 forms a contiguous layer over substrate 10. It may be desired to purge the region of substrate 10, for example, with Helium gas flowed at 5 pounds per square inch (psi) , defined between mold 30 and both substrate 10 and droplets (not shown) before contact between mold 30 and composition 50 occurs. An exemplary purging technique is disclosed in United States patent application no. 10/677,639 filed October 2, 2003, entitled SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD.

[0031] Alternatively, the polymerizable fluid can be first deposited as a substantially uniform fluid layer on substrate 10 employing, for example, spin-coating techniques. Thereafter mold 30 is brought to the same proximity as shown in FIG. 2. In such cases, the

fluid need not have such a low viscosity, but the viscosity of the fluid should be less than about 200,000 cPs.

[0032] Further, to the extent that it is otherwise preferable to use different cross-linkable organic polysilicates having higher molecular weight than the preferred oligomers, as described below, the mixture may contain a solvent as an inert diluent. The solvent may be selected to dissolve a particular pore forming material as well as a fluorosurfactant (described in the more preferred embodiments below) or simply to lower the viscosity to a level low enough for spin coating on a planar substrate. After spin coating, the solvent is removed by vacuum or thermal evaporation, for example at about 100 0 C for about 1 min. The then solvent free, planarized fluid can be directly imprinted by contacting the mold thereto.

[0033] Suitable substrates for the device of the present invention comprise silicon, silicon dioxide, glass, silicon nitride, ceramics, aluminum, copper and gallium arsenide. Other suitable substrates will be known to those skilled in the art. In a multilayer integrated circuit device, an underlying layer of insulated, planarized circuit lines can also function as a substrate. [0034] Referring now to FIG. 2, the polymerizable fluid composition 50 is then exposed to conditions sufficient to polymerize the fluid. Preferably, the polymerizable fluid composition 50 is exposed to radiation sufficient to polymerize the fluid composition and form a solidified polymeric material represented by 60 in FIG. 3. More specifically, the polymerizable fluid composition is exposed to ultraviolet light, although other means for polymerizing the fluid may be employed such as, for example, heat or other forms of radiation. It should be understood that in some applications it might be desirable to use UV transparent substrates, in which case the exposure can be through the substrate, and in a further embodiment, the use of a mold that is opaque UV light.

[0035] The selection of a method of initiating the polymerization of the fluid composition is known to one skilled in the art, and typically depends on the specific application that is desired. Generally speaking, organic modified polysilica is an oligomeric or polymeric compound comprising silicon, carbon, oxygen and hydrogen atoms. The polymerizable (or crosslinkable) materials that may be used in the methods of the invention may include various silicon-containing

materials that are often present themselves in the form of polymers or oligomers. Suitable organic polysilica include (i) silsesquioxanes (ii) partially condensed alkoxysilanes (e.g., partially condensed by controlled hydrolysis tetraethoxysilane having a number average molecular weight of about 500 to 20,000); (iii) organically modified silicates having the composition RSiO 3 and R 2 SiO 2 wherein R is an organic substituent and (iv) partially condensed orthosilicates having the composition SiOR 4 . Silsesquioxanes are polymeric silicate materials of the type RSiO 1-5 where R is an organic constituent. The silicon- containing material preferably contains the element silicon in an amount greater than about 10 percent based on the weight of the polymerizable fluid composition, and more preferably, greater than about 20 weight percent.

[0036] The silicon-containing polymerizable material also includes one or more pendent functional groups from a variety that includes, as non-limiting examples, epoxy groups, ketone groups, acetyl groups, vinyl groups, acrylate groups, methacrylate groups, and combinations of the above. Although not wishing to be bound by any theory, it is believed that suitable polymerizable fluid compositions may react according to a variety of reaction mechanisms such as, but not limited to, acid catalysis, free radical polymerization, cationic polymerization, or 2+2 photocycloaddition, and the like.

[0037] The most preferable forms of organic polysilica are of relatively low molecular weight, but predominantly have two or more pendent and reactive functional groups per molecule. Such organically modified silicates are available under the tradename "ORMOCER" type resins and are available from Micro Resist Technology GmbH (Berlin, Germany) . Typically, these materials are formed through the controlled hydrolysis and condensation of organically modified silanes, particularly alkyltrialkoxysilanes, such as the mixture of molecules

710, 720, 730 and 740 as illustrated in FIG. 7. As a non-limiting example for species 720 R--Si (OX) 3 , a traditional alkoxide precursor, X may represent, for example, CH 3 , CH 2 H 5 , CH 3 H 7 , and CH 4 H 9 . R may be any organic fragment such as methyl, ethyl, propyl, butyl, isopropyl, aryl, phenyl, as well as alkoxy (in which R is -(0X)) . In molecule 730, W is preferably aryl or phenyl. The proportions of molecules of the type 710, 720, 730 and 740 may be modified to affect the molecular weight, extent of cross-linking and glass transition temperature of the potential resultant product species. A significant portion of the

trialkoxysilane more preferably has a reactive functional group, as in species 710, where R now terminates in a methacrylate group. Alternatively, R can terminate in an epoxide group, as for example species 740. It should be appreciated that R can also terminate in methacrylate, acrylate, vinyl, epoxide, and the like to provide a cross- linkable functionally that is activated with UV light and the appropriate photo initiator and/or catalyst. For either of 710 and 740, Z is optionally H, CH 3 , CH 2 H 5 , CH 3 H 7 , C 4 H 9 or a pore forming material P 2 or P 3 . As used herein, the term "ORMOCER" encompasses the foregoing materials as well as other organically modified ceramics, sometimes referred to by the tradenames ORMACORE and ORMACLAD. It should be noted that for some portion of the composition, Si can alternatively be Ti, Zr, or Al to the extent it is desirable to produce a mixed metal oxide material to provide other properties than a lower dielectric constant. [0038] Upon the condensation reaction 700, the aforementioned trialkoxysilane reactants form various types of cross-linked networks with one or more reactive functional groups. Thus, upon the initial condensation reaction -OX groups are eliminated such that a Si-O- bonded network is formed having the generic structure illustrated as 750. The silicate portion of the network 750 is illustrated schematically as an oval for the other species formed in condensation reaction 700. Depending on the exact composition and ratios of the initial reactants, polycondensation reaction 700 produces a variety of species having one of more methacrylate, acrylate, vinyl, epoxide, and the like pendent function groups capable of cross-linking with each other either thermally or on exposure to actinic radiation with a suitable photo initiator and/or catalyst. When R or Z is alternatively a porogen, designated P2 or P3 wherein the above condensation reaction bonds the porogen pendent groups to the Si-O- bonded network 750, as 755. P3 is intended to encompass structures and molecules having an additional pendent methacrylate, acrylate, vinyl, epoxide, and the like pendent function groups capable of cross-linking. P2 and P3 thus can be oligomeric or polymeric, to vary or optimize the pore size and distribution. For example, trimethoxysilyl norbornene (TMSNB) and triethoxysilyl norbornene (TESNB) polymers (Promerus, Brecksville, OH) have been used as such chemically bonded porogens as described by Padovani, et al in "Chemically Bonded Porogens in Methylsilsesquioxane, I. Structure and Bonding," Journal of the Electrochemical Society, 149 (12) F161-F170 (2002) . Alternatively, Pl or P2 can be poly

(caprolactone) or other polyols of various molecular weights with polyhydroxyl terminated or branched hydroxyl terminated species preferred to minimize the viscosity of the polymerizable fluid.

[0039] Thus, reaction 700 results in, among others, species 741, which has a Si-O- bonded network 750 with an epoxide pendent group, whereas other products including species 744 which has a Si-O- bonded network 750 with a methacrylate pendent group. In contrast, as an alternative, species 742 has a Si-O- bonded network 750 with both an epoxide and a methacrylate pendent group, while species 743 has a Si-O- bonded network 750 with two methacrylate pendent groups. Another product of reaction 700 is species 755 which has a Si-O- bonded network 750 with an epoxide, methacrylate and pore forming pendent group, P2. In species 760 the Si-O- bonded network 750 has both pendent epoxide and methacrylate groups as well as the 1 pore forming pendent group, P3, with P3 having the third pendent reactive group, that is methacrylate, bonded or pendent from it.

[0040] FIG. 8 illustrates the chemical reactions that occur during the polymerization of the fluid 810 or 815 and the subsequent conversion to a porous dielectric material 835 or 840. Starting with the result of reaction 700 provides substantial number of compounds comprising a Si-O- bonded network 750 with two or more pendent reactive groups suitable as the polymerizable fluid. It should be understood that the porogen, Pl, could be present as a simple mixture that is either phase separated or dissolved in the polymerizable fluid. If the porogen is phase separated, it should be a stable emulsion with a particle size on the scale of 3 to 50 nm. The mixture can include other species, such as 841, a Si-O- bonded network 750 with an epoxide and two methacrylate pendent groups. In an alternative species 860, two methacrylate groups are pendent from the Si-O- bonded network 750, as well as a porogen group P3 having an epoxide group pendent from it. In species 861, an epoxide group, methacrylate groups and porogen are pendent from the Si- 0- bonded network 750. Thus, the polymerizable fluid includes the Si-O- bonded network 750 with pendent reactive groups and a porogen material, bonded, dissolved or dispersed in the fluid. [0041] Preferably, the subsequent polymerization step 815, wherein the fluid is exposed to actinic radiation with the mold in place, results in the solid cross-linked resin 880. Thus, if the mixture contains epoxide groups it is preferable to include a photo initiator

that creates an acid such that the complete curing of a cross-linked network can be accomplished in a single step, such that the mold can be rapidly removed and used to imprint other devices or portions of a substrate. [0042] Alternatively, depending on the photo initiator, the subsequent cross-linking reaction 810 may initially occur via the methacrylate groups. This may be preferable if one wishes to increase the viscosity or partially cross-link the organic silicate precursors before a final thermal cure process 820, which would cross-link any remaining epoxy groups, also forming a solid material having a three dimension cross-linked network 880. When epoxide groups are present after the initial exposure to actinic radiation, the curing can be accomplished in multiple steps, using what is termed a soft bake at between 80 to 120 0 C for 5 min. or less, followed by a higher temperature cure at between about 120 to 240 0 C, for up to about 3 hrs.

[0043] The final step to decompose the organic modified silicate, to form porous silicate 70 in FIG. 4, preferably occurs under conditions that heat the material to a temperature of about 425-450°C for about 1 hour under nitrogen. However, the decomposition process conditions can also be carried out in stages, depending on the differential temperature dependence of the decomposition rates of the porogen as compared to the organic modified silicate. However, whether the organic decomposition and elimination of the porogen is a one-step process 835 leading to final porous dielectric material 890, or take place in two steps, fundamentally the same reaction chemistry occurs in step 830 as organic groups pendent on the silicon are decomposed. The organic modified silicate contains some hydroxyl groups as a result of the partial polycondensation reaction 700. The hydroxyl groups are represented in FIG. 8 by Si-OH. In the final step 840 at a higher temperature, water is condensed from adjacent Si-OH groups forming a substantially inorganic silica network. Simultaneously, the porogen Pl, P2 or P3 materials that are phase segregated decompose, forming nanometer scale pores, preferably having a diameter of about 3 to 30 nm or about one tenth of the feature size W x and d x . [0044] Referring back to FIG. 3, upon completion of the curing or polymerization processes 815 or 810/820 described above, the mold 30 is removed to leave the solidified polymeric material 60 deposited on the substrate 10. The patterned organic silicate coating has grooves 61

surrounded by plateaus 62. As shown by FIG. 4 following either of reactions 835 or 840 the resultant patterned polymeric material 60 is converted to a substantially inorganic porous dielectric coating 70, that still includes groove 71 surrounded by plateaus 72, with a uniform dispersion of pores 41.

[0045] Another feature of the present invention is forming the dielectric material, which is positioned over the circuit lines and/or between the circuit lines and on the substrate. In multilevel integrated circuit devices, the dielectric material is often planarized to function as a substrate for lithographic formation of the next layer of circuit lines. The dielectric material comprises porous organic polysilicate.

[0046] Referring to FIG.5, in the next step of the process for forming the integrated circuit of the present invention, a metallic film 80 is deposited onto the patterned dielectric layer 70. Preferred metallic material is selected to provide suitable circuit lines and thus comprises a metallic, electrically conductive material, such as copper, tungsten, aluminum, suicides, gold, silver, or alloys thereof, and the like. The metal is suitably deposited onto the patterned dielectric layer by art known techniques such as chemical vapor deposition (CVD) , plasma enhanced CVD, electro and electroless deposition, sputtering or the like. Optionally, the circuit lines may be coated with a metallic liner such as a layer of nickel, tantalum or chromium or other layers, such as barrier or adhesion layers (e.g., SiN, TiN) . [0047] Referring to FIG. 6, the last step of the process involves removal of excess metallic material (e.g., planarizing the metallic film 80) so that the top of the metal filled grooves 91 are generally level with the top of the patterned dielectric layer 72, resulting in integrated circuit device 100. Device 100 generally comprises substrate 10, metallic circuit lines 90 and dielectric material 70. Planarization can be accomplished using chemical/mechanical polishing or selective wet or dry etching. Suitable chemical/mechanical polishing techniques will be known to those skilled in the art. In device 100, the interconnected circuit lines 90 function to distribute electrical signals in the device and to provide power input to and signal output from the device.

Suitable integrated circuit devices will generally comprise multiple layers of circuit lines, which are interconnected by vertical metallic studs (not shown in the figure) .

[0048] In the more preferred embodiments, the polymerizable composition also includes a fluorosurfactant to improve the release properties and performance life of the imprint mold or tool. A presently preferred fluorosurfactant is a non-ionic polymeric fluorochemical surfactant sold under the tradename NOVEC FC-4432 by 3M Performance Materials Division (St. Paul, MN) Fluorosurfactant. An alternative fluorosurfacant includes ZONYL FSO-100, available from DuPont Corporation (Wilmington, DE) .

[0049] In a preferred polymerizable fluid composition, percentage or fraction decomposable polymer (porogen) to Si- is selected to produce a pore volume from about 10 to 40 volume %, and more preferably 20 to 30%, depending on the desired dielectric constant and the ultimate mechanical strength and durability required of the dielectric layer, it being understood that even for nanoscale pores, increasing the total porosity decreases the strength and durability. The porogen component preferably comprises from about 10 to 50 weight percent of the composition. Additionally it is preferable if the organic modified silicate comprises at least about 10 weight percent silicon. More preferably, the organic modified silicate has a molecular weight of less than about 50,000. Under such conditions, the polymerizable fluid composition preferably has viscosity of less than about 200,000 cPs.

EXAMPLE 1

[0050] As a theoretical example of a preferred composition for the polymerizable fluid of the instant invention, 79.5 g ORMOCER b59 UV curable organic modified silicate, 2O g TONE 0301 as the porogen and 0.5 g FC4432 of fluorosurfactant are mixed together. As ORMOCER b59 is available from the manufacturer premixed with the appropriate photo initiator the above composition can be used for imprint molding as described above when exposed to UV radiation of a wavelength that includes 365 nm. "TONE" 0310 is a poly(caprolactone) polyol (CAS Reg. No. 37625-56-2) having a relatively low-melting point and is tri- functional (3 -OH groups per molecule) with a number average molecular weight of about 900, and a hydroxyl number (mg KOH/g) of 187.0, being available from the Dow Chemical Company (Midland, MI) . Other polycaprolactones deemed suitable without undue experimentation include CAPA 3031, which is available from Solvay Caprolactones (Warrington, Cheshire, United Kingdom) .

[0051] It is expected that the inventive process is susceptible to achieving the smallest pore sizes, as the presence of the micro relief of the mold prior to the pore generation process minimizes the tendency for the nucleation and growth of larger pores. [0052] It should be appreciated that one skilled in the art may select the substrate, mold, polymerizable fluid composition, surface modifying agent, as well as any other materials such that the method of the invention optimally functions according to the specific needs of the end user. [0053] While the invention has been described in connection with a preferred embodiment, it is not intended to limit the scope of the invention to the particular form set forth, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents as may be within the spirit and scope of the invention as defined by the appended claims.