Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METAL FILL PROCESS FOR THREE-DIMENSIONAL VERTICAL NAND WORDLINE
Document Type and Number:
WIPO Patent Application WO/2019/036292
Kind Code:
A1
Abstract:
Disclosed are methods of depositing a transition metal such as tungsten on a semiconductor substrate. The method includes providing a gas mixture of diborane with a balance of hydrogen, where the hydrogen serves to stabilize the diborane in the gas mixture. The method further includes delivering the gas mixture to the semiconductor substrate to form a boron layer, where the boron layer serves as a reducing agent layer to convert a metal-containing precursor to metal, such as a tungsten-containing precursor to tungsten. In some implementations, the semiconductor substrate includes a vertical structure, such as a three-dimensional vertical NAND structure, with horizontal features or wordlines having openings in sidewalls of the vertical structure, where the boron layer may be conformally deposited in the horizontal features of the vertical structure.

Inventors:
SCHLOSS LAWRENCE (US)
HUMAYUN RAASHINA (US)
GOPINATH SANJAY (US)
GAO JUWEN (US)
DANEK MICHAL (US)
ASHTIANI KAIHAN ABIDI (US)
Application Number:
PCT/US2018/046232
Publication Date:
February 21, 2019
Filing Date:
August 10, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/285; H01L21/02; H01L21/768; H01L27/11524; H01L27/11529
Foreign References:
US20130302980A12013-11-14
US20050208763A12005-09-22
US20050031786A12005-02-10
US20090004848A12009-01-01
US20170207087A12017-07-20
Attorney, Agent or Firm:
HO, Michael T. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A method comprising:

providing a gas mixture in a gas supply line, wherein the gas mixture includes diborane (B2H6) with a balance of hydrogen (H2);

introducing the gas mixture from the gas supply line into a deposition chamber to a surface of a semiconductor substrate, wherein the semiconductor substrate includes a vertical structure having a plurality of horizontally-oriented features, wherein the diborane decomposes to form a boron layer in the horizontally- oriented features; and

converting the boron layer to a transition metal layer in the semiconductor substrate.

2. The method of claim 1, wherein the gas mixture includes at least 20°/ by volume of diborane with the balance of hydrogen.

3. The method of claim 2, wherein the gas mixture includes between about 20% and about 50% by volume of di borane with the balance of hydrogen.

4. The method of claim 1, wherein the transition metal layer includes molybdenum, ruthenium, cobalt, or tungsten.

5. The method of claim 1, wherein converting the boron layer to the transition metal layer comprises reacting the boron layer with a tungsten-containing precursor to form a tungsten layer.

6. The method of claim 5, wherein the tungsten-containing precursor includes tungsten hexafluoride (WF6), tungsten hexachloride (WC16), or tungsten hexacarbonyl (W(CO)6).

7. The method of any one of cl aims 1-6, wherein the gas mixture is substantially free of nitrogen ( 2).

8. The method of any one of claims 1-6, wherein the semiconductor substrate has openings in sidewalis of the vertical structure that are fluidically accessible from the vertical structure through the openings. 9. The method of any one of claims 1 -6, wherein the vertical staicture is a three-dimensional (3-D) vertical NAND structure.

10. The method of any one of claims 1-6, wherein the boron layer is conformally deposited in the horizontally-oriented features of the vertical structure, the boron layer having a step coverage of at least 90%.

11. The method of any one of claims 1-6, wherein introducing the gas mixture comprises pulsing the diborane with the balance of hydrogen for a period of time between about 0.1 seconds and about 10 seconds in a pulsed nucleation layer (PNL) deposition cycle.

12. The method of any one of claims 1-6, wherein introducing the gas mixture comprises pulsing the diborane with the balance of hydrogen for a period of time between about 1 second and about 60 seconds.

13. The method of any one of claims 1-6, further comprising:

exposing the semiconductor substrate to a reducing gas, wherein the reducing gas includes silane, disilane, or hydrogen, 14. An apparatus comprising:

a gas supply line, wherein the gas supply line contains a gas mixture of diborane with a balance of hydrogen;

a deposition chamber coupled to the gas supply line, wherein the deposition chamber is configured to process a semiconductor substrate in the deposition chamber, the semiconductor substrate including a vertical structure having a plurality of horizontally-oriented features; and

a controller configured with instructions for performing the following operations: introducing the gas mixture from the gas supply line into the deposition chamber to a surface of the semiconductor substrate, where the diborane decomposes to form a boron layer in the horizontally-oriented features; and

converting the boron layer to a transition metal layer in the semiconductor substrate,

15. The apparatus of claim 14, wherein the gas mixture includes at least 20% by volume of diborane with the balance of hydrogen. 16. The apparatus of claim 15, wherein the gas mixture includes between about 20% and about 50% by volume of diborane with the balance of hydrogen.

17. The apparatus of claim 14, wherein the transition metal layer includes molybdenum, ruthenium, cobalt, or tungsten.

18. The apparatus of any one of claims 14-17, wherein the controller configured with instructions for converting the boron layer is configured with instructions for reacting the boron layer with a transition metal precursor to form the transition metal layer.

19. The apparatus of any one of claims 14-17, wherein the semiconductor substrate has openings in sidewalls of the vertical structure that are fluidically accessible from the vertical structure through the openings. 20. The apparatus of any one of claims 14-17, wherein the controller is further configured with instaictions for performing the following operation:

exposing the semiconductor substrate to a reducing gas, wherein the reducing gas includes siiane, disiiane, or hydrogen.

Description:
METAL FILL PROCESS FOR THREE-DIMENSIONAL VERTICAL NAND WORDLINE

CROSS-REFERENCE TO RELATED APPLICATION [0001] This application claims the benefit of priority to U.S. Provisional Patent Application No. 62/545,405, filed August 14, 2017, and titled "METAL FILL PROCESS FOR THREE-DFMENSIONAL VERTICAL NAND WORDLINE," which is hereby incorporated by reference herein in its entirety and for all purposes.

TECHNICAL FIELD [0002] This disclosure relates generally to deposition of a transition metal on a semiconductor substrate and, more particularly to deposition of a transition metal on a semiconductor substrate by conversion of a boron layer that is formed by a boron- containing precursor.

BACKGROUND [0003] Deposition of tungsten and tungsten-containing materials is an integral part of many semiconductor fabrication processes. Such deposition may be accomplished via chemical vapor deposition (CVD) techniques, plasma-enhanced CVD (PECVD) techniques, atomic layer deposition (ALD) techniques, as well as other deposition techniques known in the IC fabrication arts such as, for example, physical vapor deposition (PVD). Tungsten and tungsten-containing materials, hereinafter referred to as tungsten-based materials or just tungsten materials, may be used for horizontal interconnects, vias between adjacent metal layers, contacts between first metal layers and devices on the silicon substrate, and may generally be used within various high aspect ratio features utilized in IC design. [0004] In a conventional process for depositing tungsten material, a substrate is heated to a predetermined process temperature in a deposition chamber, and a thin layer of tungsten material is deposited to serve as a seed or nucleation layer. Thereafter, a bulk layer of tungsten material is deposited atop the nucleation layer. Conventionally, the tungsten material is formed by the reduction of tungsten hexafluoride (WF 6 ) with hydrogen (H 2 ). Typically, tungsten material is deposited over an entire exposed surface area of the substrate which often includes one or more field regions, as well as various IC features. These features may have widely varying aspect ratios, and in some embodiments, one or more or all features may have relatively high aspect ratios. SUMMARY

[0005] This disclosure pertains to a method. The method includes providing a gas mixture in a gas supply line, where the gas mixture includes diborane (B 2 H 6 ) with a balance of hydrogen (H 2 ). The method further includes introducing the gas mixture from the gas supply line into a deposition chamber to a surface of a semiconductor substrate, where the semiconductor substrate includes a vertical structure having a plurality of horizontally-oriented features, where the diborane decomposes to form a boron layer in the horizontally-oriented features. The method further includes converting the boron layer to a transition metal layer in the semiconductor substrate.

[0006] In some implementations, the gas mixture includes at least 20% by volume of diborane with the balance of hydrogen. In some implementations, the gas mixture includes between about 20% and about 50% by volume of diborane with a balance of hydrogen. In some implementations, the transition metal includes molybdenum, ruthenium, cobalt, or tungsten. In some implementations, converting the boron layer to the transition metal layer includes reacting the boron layer with a tungsten- containing precursor to form a tungsten layer. In some implementations, the semiconductor substrate has openings in sidewalls of the vertical structure that are fluidically accessible from the vertical structure through the openings. In some implementations, the vertical structure is a three-dimensional (3-D) vertical NAND structure. In some implementations, the boron layer is conformally deposited in the horizontally-oriented features of the vertical structure, the boron layer having a step coverage of at least 90%.

[0007] This disclosure also pertains to an apparatus. The apparatus includes a gas supply line and a deposition chamber coupled to the gas supply line, where the deposition chamber is configured to process a semiconductor substrate in the deposition chamber, and where the gas supply line is configured to contain a gas mixture of diborane with a balance of hydrogen. The semiconductor substrate includes a vertical structure having a plurality of horizontally-oriented features. The apparatus further includes a controller configured to provide instructions for performing the following operations: introducing the gas mixture from the gas supply line into the deposition chamber to the surface of the semiconductor substrate, where the diborane decomposes to form a boron layer in the horizontally-oriented features, and converting the boron layer to a transition metal layer in the semiconductor substrate.

[0008] In some implementations, the gas mixture includes at least 20% by volume of diborane with the balance of hydrogen. In some implementations, the gas mixture includes between about 20% and about 50% by volume of diborane with the balance of hydrogen. In some implementations, the transition metal layer includes molybdenum, ruthenium, cobalt, or tungsten. In some implementations, the system controller configured with instructions for converting the boron layer is configured with instructions for reacting the boron layer with a transition metal precursor to form the transition metal layer. In some implementations, the semiconductor substrate has openings in sidewalls of the vertical structure that are fluidically accessible from the vertical structure through the openings. In some implementations, the controller is further configured with instructions for performing the following operation: exposing the semiconductor substrate to a reducing gas, wherein the reducing gas includes silane, disilane, or hydrogen. In some implementations, the controller is further configured with instructions for performing the following operation: providing the semiconductor substrate in the deposition chamber.

[0009] These and other embodiments are described further below with reference to the figures. BRIEF DESCRIPTION OF THE DRAWINGS

[0010] FIG. 1A shows the cross-section of a vertical feature in a substrate which may be designed to be filled with tungsten material.

[0011] FIG. IB shows an example of a similar feature to FIG. 1 A, but having a reentrant profile, due to the presence of an under-layer lining the bottom, sidewalls, and opening of the feature. [0012] FIG. 1C illustrates a top-down plan view of a horizontal feature exhibiting a constriction roughly in the middle of its sidewall. In some embodiments, such horizontal features may be what are referred to as "wordlines" in a vertically integrated memory structure. [0013] FIG. ID illustrates a side-view of the same horizontal feature shown in FIG. 1C, but here shown having an opening to a vertical structure, and thus forming a part of vertical structure.

[0014] FIG. 2A presents a cross-sectional side-view of a 3D vertical memory NAND (VNAND) structure (formed on a semiconductor substrate) having VNAND stacks (left and right), a central vertical structure, and a plurality of stacked horizontal features with openings on opposite sidewalls of central vertical structure.

[0015] FIG. 2B presents a cross-sectional top-down view of the VNAND structure shown in side-view in FIG. 2A with the cross-section taken through the horizontal section indicated by the dashed horizontal line in FIG. 2A. [0016] FIG. 3 A exhibits a vertical cross-section (cut) of a VNAND structure similar to that shown in FIG. 2A, but in FIG. 3 A focused on a single pair of wordlines and additionally schematically illustrating a tungsten fill process which resulted in the formation of voids in the wordlines.

[0017] FIG. 3B exhibits a horizontal cross-section (cut) of a VNAND structure similar to that shown in FIG. 2A, and also schematically illustrating the presence of voids as in FIG. 3 A.

[0018] FIG. 3C exhibits a single wordline viewed cross-sectionally from above and illustrates how a generally conformal deposition of tungsten material begins to pinch-off the interior of exhibited wordline due presence of the pillars shown in the figure.

[0019] FIG. 4 is a schematic representation of a feature at various stages of feature fill employing boron conversion to tungsten. [0020] FIG. 5 is a graph illustrating diborane concentration as a function of days where the diborane is balanced with nitrogen, with hydrogen, or with a mixture of hydrogen and nitrogen.

[0021] FIGS. 6A-6D schematically illustrate various tungsten stacks deposited according to different sequences.

[0022] FIG. 7 is a flow diagram illustrating an example method of depositing a transition metal layer using diborane with a balance of hydrogen.

[0023] FIG. 8 is a schematic representation of an apparatus including a deposition chamber for performing a metal deposition process in accordance with certain implementations.

[0024] FIG. 9 is a schematic representation of a multi-station apparatus for performing metal deposition and/or etch operations in accordance with certain implementations.

[0025] FIG. 10 is a schematic representation of a multi-station apparatus for performing metal deposition and/or etch operations in accordance with certain implementations.

DETAILED DESCRIPTION

[0026] In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented concepts. The presented concepts may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the described concepts. While some concepts will be described in conjunction with specific embodiments, it will be understood that these embodiments are not intended to be limiting.

[0027] In the present disclosure, the terms "semiconductor wafer," "wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. One of ordinary skill in the art would understand that the term "partially fabricated integrated circuit" can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.

Three-Dimensional Vertical NA D Structures

[0028] Despite frequent employment of tungsten materials in integrated circuit (IC) design and fabrication, there are nevertheless difficulties associated with its use. For instance, depositing tungsten material into small features or features having a high aspect ratio may cause the formation of voids within the deposited tungsten. A void is simply a region within a structure or feature which is left unfilled after surrounding regions have been filled. Oftentimes, void formation is caused by a disproportionate accumulation of deposited material near a feature's entrance, resulting in the entrance becoming blocked and pinching off the interior volume of the feature preventing further deposition within the interior volume. Once blocked or pinched off, reactive deposition precursor species have no entry path into the interior volume precluding any further deposition within these regions from occurring. In other cases, even without pinch-off, a seam may be exhibited in the deposited tungsten material due to the fact that tungsten material tends to be deposited from the sidewalls out (rather than exhibiting bottom-up fill). Thus, such a seam is typically elongated along a fair portion of a feature's central axis and extends towards the bottom of the feature. Sometimes, the presence of a seam may lead to void formation, such as when a seam extends close to the field region after completion of the filling process and subsequent chemical-mechanical planarization opens the seam. In any event, seams and voids may cause high resistance, substrate contamination, loss of material, and otherwise adversely affect the electrical properties of integrated circuits.

[0029] Aspects of the present disclosure may achieve uniform tungsten fill of semiconductor substrate structures and features while minimizing or eliminating the formation of voids and seems. Applications of such uniform tungsten fill include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3D integration (TSV). The methods, apparatuses, and systems described herein may be used to fill vertical features— a via, for instance— as well as horizontal features, such as the horizontal wordlines within a vertical NAND (VNA D) structure— to be described in more detail below. The substrates having structures or features to be filled may be 200, 300, or 450 mm semiconductor wafers, or wafers having diameters between about 200 and 300 mm, or between about 300 and 450 mm, or greater than about 450 mm, or any appropriately sized wafer. Moreover, the methods, apparatuses, and systems, may be used to fill features with tungsten on other types of substrates, including panels, display substrates, and the like.

[0030] As indicated above, a significant problem associated with the filling of semiconductor substrate structures and features with tungsten material is the formation of seams and voids during the tungsten fill process. In particular, structures having features characterizable as having one or more of narrow and/or re-entrant openings, or constrictions, or simply having relatively high aspect ratios may be problematic. FIG. 1A shows the cross-section of a vertical feature 101 in a substrate 103 which may be designed to be filled with tungsten material. Such a vertical feature has a feature opening 105 and sidewalls 107. The vertical feature 101 may have an aspect ratio of at least about 2: 1, or at least about 4: 1, or at least about 6: 1, or at least about 10: 1, or at least about 20: 1, or even higher. The feature opening 105 may have a diameter of between about 10 nanometers to 500 nanometers, for example, between about 25 to 300 nanometers.

[0031] Likewise, FIG. IB shows an example of a similar feature 101, but having a re-entrant profile, due to the presence of an under-layer 113 lining the bottom, sidewalls, and opening of feature 101. A re-entrant profile is one exhibiting a cross- sectional narrowing somewhere between the feature opening and the bottom or a closed end of the feature thereby exhibiting a "bottleneck" in the profile. In some cases, a re-entrant profile may narrow gradually from feature opening inward, or include an overhang right at the feature opening itself. FIG. IB shows an example of the latter— again, wherein the narrowing is due to the presence of under-layer 113 which is thicker near the feature opening than on the bottom of the feature or further down the feature sidewalls 107, and thereby forms the overhang 115. The under-layer 113 may be, for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, or any combination of the foregoing, or any other potentially useful or advantageous layer of material.

[0032] In some embodiments, one or more constrictions in the sidewalls of a feature may create difficulty in achieving uniform tungsten fill. FIG. 1C illustrates a top-down plan view of an example horizontal feature 121 exhibiting a constriction 109 roughly in the middle of its sidewall 107. In some embodiments, such horizontal features may be what is referred to as a "wordline" in a vertically integrated memory structure such as one of the 3-D vertical NAND (VNAND) structures described in more detail below. FIG. ID illustrates a side-view of the same horizontal feature 121 having an opening 105 to vertical structure 122, and thus forming a part of vertical structure 122. In some embodiments, and for some deposition chemistries and processes, achieving uniform tungsten fill in such a structure may be challenging because a sufficient quantity of each species of deposition precursor must travel vertically down to the base of structure 122, and then horizontally through horizontal feature 121 and, in particular, through the region of constriction 109. Once again, note that FIG. 1C presents a top-down plan view representation of horizontal feature 121 and constriction 109, while FIG. ID presents a side-view representation of the same. Thus, it is apparent from these figures that, in this embodiment, constriction 109 extends inward horizontally and vertically from sidewalls 107 of feature 121. However, it should be understood that, in other embodiments, constrictions within a feature may only be present in one of the two cross-sectional dimensions, and any type of constriction— whether it be in one or two dimensions— may make uniform tungsten fill more challenging.

[0033] In some embodiments, the structure to be filled on a semiconductor substrate may be a vertical structure having a plurality of horizontal features with openings in the sidewalls of the vertical structure— the openings leading to a plurality of interior volumes (of the horizontal features) which are fluidically accessible from the main vertical structure through the feature openings.

[0034] For instance, FIG. 2A presents a cross-sectional side-view of a 3D vertical memory NAND (VNAND) structure 200 (formed on a semiconductor substrate 201) having VNAND stacks (left 205 and right 206), central vertical structure 210, and a plurality of stacked horizontal features 220 with openings 230 on opposite sidewalls 240 of central vertical structure 210. Note that FIG. 2A displays two "stacks" of the exhibited VNAND structure, which together form the "trench-like" central vertical structure 210, however, in certain embodiments, there may be more than two "stacks" arranged in sequence and running spatially parallel to one another, the gap between each adjacent pair of "stacks" forming a central vertical structure 210, like that explicitly illustrated in FIG. 2A. In this embodiment, the horizontal features 220 are actually 3D memory "wordlines" which are fluidically accessible from vertical structure 210 through openings 230. Although not explicitly indicated in the figure, the horizontal features 220 present in both the VNAND stacks 205, 206 shown in FIG. 2A (i.e., the left VNAND stack 205 and the right VNAND stack 206) are also accessible from the other sides of the VNAND stacks (far left and far right, respectively) through similar vertical structures formed by additional VNAND stacks (to the far left and far right, but not shown). In other words, each VNAND stack 205, 206 contains a stack of wordlines which are fluidically accessible from both sides of the VNAND stack through a central vertical structure 210. In the particular example schematically illustrated in FIG. 2A, each VNAND stack contains 6 pairs of stacked wordlines, however, in other embodiments, a 3-D VNAND memory layout may contain 8, or 16, or 32, or 64 vertically stacked pairs of wordlines corresponding to 16, or 32, or 64, or 128 stacked horizontal wordline features 220 with openings 230. Moreover, in principle, any number of wordlines may be vertically stacked in such a VNAND structure so long as a technique is available to successfully accomplish (substantially) void-free fills of the vertical features. Thus, for example, a VNAND stack may include between 2 and 256 horizontal wordline features, or between 8 and 128 horizontal wordline features, or between 16 and 64 horizontal wordline features, and so forth (the listed ranges understood to include the recited end points).

[0035] FIG. 2B presents a cross-sectional top-down view of the same VNAND structure 200 shown in side-view in FIG. 2A with the cross-section taken through the horizontal section 260 indicated in FIG. 2A (i.e., indicated by the dashed horizontal line). The cross-section of FIG. 2B illustrates several rows of pillars 250 which are shown in FIG. 2A to run vertically from the base of semiconductor substrate 201 to the top of VNAND stack 200. In some embodiments, these pillars 250 are formed from a polysilicon material and are structurally and functionally significant to VNAND structure 200. In some embodiments, such polysilicon pillars may serve as gate electrodes for stacked memory cells formed by the wordlines. The top-view of FIG. 2B illustrates that the pillars 250 form constrictions in the openings 230 to wordlines 220— i.e. fluidic accessibility of wordlines 220 from vertical structure 210 via openings 230 (as indicated by the arrows in FIG. 2B) is inhibited by pillars 250. In some embodiments, the size of the horizontal gap between adjacent polysilicon pillars is between about 1 and 20 nm. This reduction in fluidic accessibility increases the difficulty of uniformly filling wordlines 220 with tungsten material.

[0036] The structure of wordlines 220 and the challenge of uniformly filling them with tungsten material due to the presence of pillars 250 is further illustrated in FIGS. 3A, 3B, and 3C. FIG. 3A exhibits a vertical cut through VNA D structure 200 similar to that shown in FIG. 2A, but here focused on a single pair of wordlines 220 and additionally schematically illustrating a tungsten fill process which resulted in the formation of a voids 270 in wordlines 220. FIG. 3B also schematically illustrates the voids 270, but in this figure illustrated via a horizontal cut through pillars 250, similar to the horizontal cut exhibited in FIG. 2B. FIG. 3B illustrates the accumulation of tungsten material around the constriction-forming pillars 250, the accumulation resulting in the pinch-off of openings 230, so that no additional tungsten material can be deposited in the region of voids 270. Apparent from FIGS. 3A and 3B is that void-free tungsten fill relies on migration of sufficient quantities of deposition precursor down through vertical structure 210, through openings 230, past the constricting pillars 250, and into the furthest reaches of wordlines 220, prior to the accumulated deposition of tungsten around pillars 250 causing a pinch-off of the openings 230 and preventing further precursor migration into wordlines 220. Similarly, FIG. 3C exhibits a single wordline 220 viewed cross-sectionally from above and illustrates how a generally conformal deposition of tungsten material begins to pinch-off the interior of wordline 220 due to the fact that the significant width of pillars 250 acts to partially block, and/or narrow, and/or constrict what would otherwise be an open path through wordline 220. (It should be noted that the example in Figure 3C can be understood as a 2-D rendering of the 3-D features of the structure of the pillar constrictions shown in Figure 3B, thus illustrating constrictions that would be seen in a plan view rather than in a cross-sectional view.) [0037] Accordingly, uniform deposition of tungsten containing material into constricted regions of semiconductor features can pose a significant challenge. While the examples described above specifically concern the problem of uniformly filing the memory wordlines of 3-D VNA D memory structures, the methods described herein can be applied to any sort of feature where there is a relatively constricted path from the source of the tungsten-containing material to the region of a feature where uniform tungsten deposition is desired.

[0038] One class of techniques for circumventing void formation and achieving uniform tungsten fill of horizontal features involves the combination of a tungsten deposition operation with a tungsten etch operation. The general idea is to deposit tungsten until pinch-off occurs, etch-back the pinch point to reopen the closed-off region, and then redeposit tungsten once again into the previously closed-off region. In some situations where such a technique may be employed, one intervening etch operation between a pair of deposition operations may be enough to complete a sufficiently uniform tungsten fill— even within certain horizontal features. However, in more challenging situations, additional deposition-etch cycles may be necessary for adequate fill— depending on the magnitude of a structure's aspect ratio, the degree of constriction within the horizontal feature to be filled, etc. Additional details regarding depositing tungsten using a combination of deposition and etch operations are described in U.S. Patent Application No. 14/285,505, filed May 22, 2014 and entitled "METHODS AND APPARATUSES FOR VOID-FREE TUNGSTEN FILL IN THREE-DFMENSIONAL SEMICONDUCTOR FEATURES," which is incorporated by reference in its entirety and for all purposes.

Boron Conversion [0039] In some implementations, tungsten deposition can include conformal boron deposition, followed by reduction of a tungsten-containing precursor (such as WF 6 ) by boron to form a layer of tungsten. An example reaction is: WF 6 (g) + 2B(s) - W(s) + BF 3 (g). Tungsten deposition by boron conversion can occur in forming a tungsten nucleation layer or in filling a feature with tungsten. An example of feature fill by boron conversion is shown in FIG. 4. However, it is understood that boron conversion can serve to not only deposit tungsten for feature fill, but to also deposit tungsten as a nucleation layer and other purposes. [0040] FIG. 4 shows a flow diagram illustrating certain operations in such a method of filling a feature by boron conversion. First, in an operation 410, a thin conformal layer of boron 425 is deposited in a feature 401 over a titanium nitride layer 413. In an operation 420, the thin conformal layer of boron 425 is converted to a tungsten layer 427, for example, by the reaction given above. The boron deposition and conversion operations are repeated at 430 and 440 to form another conformal layer of boron 425 that is then converted to tungsten, such that tungsten layer 427 grows. The deposition and conversion reactions can be repeated until the feature is completely filled with tungsten 427. The use of multiple cycles of thin conformal boron (or another reducing material) and conversion to tungsten to deposit very conformal, small grain and smoother tungsten can reduce the seam that may otherwise form using a CVD method that results in large or uneven grain growth. In some implementations, each cycle may form a tungsten layer having a thickness up to about 10 nm. There may be an increase in volume associated with the conversion from boron to tungsten.

[0041] Any boron-containing compound that can decompose or react to form a layer capable of reducing the tungsten-containing precursor to form elemental tungsten may be used. Examples include boranes including B n H n+4 , B n H n+6 , B n H n+8 , B n H m , where n is an integer from 1 to 10, and m is a different integer than m.. [0042] In some implementations, layer 425 may be any material that is capable of reducing a tungsten precursor including a silicon or silicon-containing material, phosphorous or a phosphorous-containing material, germanium or a germanium- containing material, and hydrogen. Example precursors that can be used to form such layers include SiH 4 , Si 2 H 6 , PH 3 , SiH 2 Cl 2 , and GeH 4 . Additional examples of using boron conversion in tungsten feature fill are described below.

[0043] Additional details regarding deposition of tungsten using boron-containing compounds are described in U.S. Patent Application No. 13/851,885, filed March 27, 2013 and entitled "TUNGSTEN FEATURE FILL," which is incorporated by reference in its entirety and for all purposes. Nucleation Layer Deposition

[0044] In some implementations, the methods described herein involve deposition of a tungsten nucleation layer prior to deposition of a bulk layer. A nucleation layer is typically a thin conformal layer that facilitates subsequent deposition of bulk tungsten- containing material thereon. According to various implementations, a nucleation layer may be deposited prior to any fill of the feature and/or at subsequent points during fill of the feature. For example, in some implementations, a nucleation layer may be deposited following etch of tungsten in a feature.

[0045] In certain implementations, the nucleation layer is deposited using a pulsed nucleation layer (PNL) technique. In a PNL technique, pulses of a reducing agent, optional purge gases, and tungsten-containing precursor are sequentially injected into and purged from the reaction chamber. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate, including atomic layer deposition (ALD) techniques. PNL techniques for depositing tungsten nucleation layers are described in U.S. Patent Nos. 6,635,965; 7,005,372; 7,141,494;

7,589,017, 7,772,114, 7,955,972 and 8,058,170, and U.S. Patent Publication No.

2010-0267235, all of which are incorporated by reference herein in their entireties.

Nucleation layer thickness can depend on the nucleation layer deposition method as well as the desired quality of bulk deposition. In general, nucleation layer thickness is sufficient to support high quality, uniform bulk deposition. Examples may range from lOA-lOOA.

[0046] While examples of PNL deposition are provided above, the methods described herein are not limited to a particular method of tungsten nucleation layer deposition, but include deposition of bulk tungsten film on tungsten nucleation layers formed by any method including PNL, ALD, CVD, and physical vapor deposition (PVD). Moreover, in certain implementations, bulk tungsten may be deposited directly in a feature without use of a nucleation layer. For example, in some implementations, the feature surface and/or an already-deposited under-layer supports bulk tungsten deposition. In some implementations, a bulk tungsten deposition process that does not use a nucleation layer may be performed. U.S. Patent Application No. 13/560,688, filed July 27, 2012, incorporated by reference herein, describes deposition of a tungsten bulk layer without a nucleation layer, for example.

[0047] In various implementations, tungsten nucleation layer deposition can involve exposure to a tungsten-containing precursor such as tungsten hexafluoride (WF 6 ), tungsten hexachloride (WC1 6 ), and tungsten hexacarbonyl (W(CO) 6 ). In certain implementations, the tungsten-containing precursor is a halogen-containing compound, such as WF 6 . Organo-metallic precursors, and precursors that are free of fluorine such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethyl cyclopentadienyl-dicarbonylnitrosyl-tungsten) may also be used. [0048] Examples of reducing agents can include boron-containing reducing agents including diborane (B 2 H 6 ) and other boranes, silicon-containing reducing agents including silane (SiH 4 ) and other silanes, hydrazines, and germanes. In some implementations, pulses of tungsten-containing precursors can be alternated with pulses of one or more reducing agents, e.g., S/W/S/W/B/W, etc., W represents a tungsten-containing precursor, S represents a silicon-containing precursor, and B represents a boron-containing precursor. In some implementations, a separate reducing agent may not be used, e.g., a tungsten-containing precursor may undergo thermal or plasma-assisted decomposition.

[0049] PNL tungsten film properties can be substantially altered by the choice and introduction sequence of process gases. In particular, it is advantageous to begin PNL tungsten deposition with a single dose of a boron-containing reducing agent (e.g., B 2 H 6 ) prior to the first dose of tungsten-containing gas (e.g., WF 6 ). After the initial doses of B 2 H 6 - WF 6 , the remaining cycles of PNL tungsten deposition can proceed as described above (e.g., alternating SiH 4 and WF 6 pulses). [0050] In this approach, the diborane (or other boron-containing precursor) reacts on a substrate surface to form a "sacrificial layer" of elemental boron. This sacrificial layer subsequently reacts with a tungsten precursor to form elemental tungsten. The boron deposition process is not a conventional self-limiting ALD type deposition process (contrast silane-based reactions for example). Rather, the diborane (or other suitable boron-containing material) decomposes thermally to produce a boron film under typical PNL or CVD operating conditions (e.g., 200°C-400°C, 1-300 Torr) on the dielectric surface. The reaction can proceed so long as the substrate is exposed to boron reducing agent. However, to ensure that a limited amount of tungsten is actually formed in the subsequent step, the diborane deposition is preferably limited to a thickness of between about 3 and 10 angstroms. This may correspond to about one to three monolayers of diborane. In the second operation of the process, the elemental boron layer is exposed to a tungsten precursor, which is reduced by the boron to elemental tungsten.

[0051] In some embodiments, the boron operation is performed at a moderate temperature (e.g., 300°C) in order to ensure that a sufficient quantity of boron is formed on the surface in a reasonable amount of time. Regarding other parameters, generally the process steps are performed at a pressure of between about 0.1 and 300 Torr and the doses are defined by the flow rates and contact time. Generally, the boron-containing reducing agent can be any process-compatible boron compound capable of effectively reducing a tungsten precursor to produce a layer of metallic tungsten. Examples of suitable boron-containing reducing agents include boranes such as hexaborane (B 6 Hi 0 ), triborane, diborane (B 2 H 6 ), etc.

[0052] Measurements indicate first order boron growth kinetics from B 2 H 6 with an activation energy of roughly 200 kJ/gmol. Thus a very thin boron film can be deposited as a sacrificial layer prior to the first WF 6 or other W containing reagent pulse. The amount of B on the surface is tailored to provide adequate nucleation sites for WF 6 to begin nucleation and tungsten growth on all surfaces of the wafer. This dramatically reduces the sensitivity of the P L process to variations in the incoming semiconductor wafers substrate. In particular, with a properly optimized B layer from the first B 2 H 6 pulse, one can even nucleate tungsten on wafer surfaces with discontinuous Ti-TiN line-barrier films. In extremely high aspect ratio semiconductor contact structures for logic and DRAM devices this is very important, because poor step coverage from PVD or CVD Ti and TiN barriers often creates discontinuous films near the bottom of contacts when the aspect ratios of the contacts exceed 10: 1 (depth/diameter). [0053] B 2 H 6 is not without faults as a reducing agent for PNL tungsten. Because B 2 H 6 deposits by a thermal CVD process and is not self-limiting, it is possible to have step coverage problems with boron deposition from B 2 H 6 . This is typically not seen for features with aspect ratios less than 20: 1, but may be an issue for aggressive (DRAM) structures with aspect ratios of 60: 1 or more. SiH 4 is self-limiting and can fully saturate any topography given sufficient dose times. Therefore, a typical PNL tungsten growth may begin with an initial pulse of B 2 H 6 and follow that with alternating doses of WF 6 and SiH 4 . B 2 H 6 dose time may be optimized to provide adequate nucleation sites for subsequent tungsten growth. The boron may also serve as a getter to consume excess fluorine generated by the decomposition of WF 6 . Subsequent doses of WF 6 and SiH 4 are self-limiting and result in uniform and totally conformal tungsten film growth. Bulk Deposition

[0054] In many implementations, tungsten bulk deposition can occur by a CVD process in which a reducing agent and a tungsten-containing precursor are flowed into a deposition chamber to deposit a bulk fill layer in the feature. An inert carrier gas may be used to deliver one or more of the reactant streams, which may or may not be pre-mixed. Unlike PNL or ALD processes, this operation generally involves flowing the reactants continuously until the desired amount is deposited. In certain implementations, the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more reactant flows diverted. [0055] Various tungsten-containing gases including, but not limited to, WF 6 , WC1 6 , and W(CO) 6 can be used as the tungsten-containing precursor. In certain implementations, the tungsten-containing precursor is a halogen-containing compound, such as WF 6 . In certain implementations, the reducing agent is hydrogen gas, though other reducing agents may be used including silane (SiH 4 ), disilane (Si 2 H 6 ) hydrazine (N 2 H 4 ), diborane (B 2 H 6 ) and germane (GeH 4 ). In many implementations, hydrogen gas is used as the reducing agent in the CVD process. In some other implementations, a tungsten precursor that can decompose to form a bulk tungsten layer can be used. Bulk deposition may also occur using other types of processes including ALD processes. [0056] Examples of temperatures may range from 200 C to 500 C. According to various implementations, any of the CVD W operations described herein can employ a low temperature CVD W fill, e.g., at about 250 ° C-350 ° C or about 300 ° C.

[0057] Deposition may proceed according to various implementations until a certain feature profile is achieved and/or a certain amount of tungsten is deposited. In some implementations, the deposition time and other relevant parameters may be determined by modeling and/or trial and error. For example, for an initial deposition for an inside out fill process in which tungsten can be conformally deposited in a feature until pinch-off, it may be straightforward to determine based on the feature dimensions the tungsten thickness and corresponding deposition time that will achieve pinch-off In some implementations, a process chamber may be equipped with various sensors to perform in-situ metrology measurements for end-point detection of a deposition operation. Examples of in-situ metrology include optical microscopy and X-Ray Fluorescence (XRF) for determining thickness of deposited films. [0058] It should be understood that the tungsten films described herein may include some amount of other compounds, dopants and/or impurities such as nitrogen, carbon, oxygen, boron, phosphorous, sulfur, silicon, germanium and the like, depending on the particular precursors and processes used. The tungsten content in the film may range from 20% to 100% (atomic) tungsten. In many implementations, the films are tungsten-rich, having at least 50% (atomic) tungsten, or even at least about 60%), 75%), 90%, or 99% (atomic) tungsten. In some implementations, the films may be a mixture of metallic or elemental tungsten (W) and other tungsten-containing compounds such as tungsten carbide (WC), tungsten nitride (WN), etc.

[0059] CVD and ALD deposition of these materials can include using any appropriate precursors. For example, CVD and ALD deposition of tungsten nitride can include using halogen-containing and halogen-free tungsten-containing and nitrogen-containing compounds as described further below. CVD and ALD deposition of titanium-containing layers can include using precursors containing titanium with examples including tetrakis(dimethylamino)titanium (TDMAT) and titanium chloride (TiCU), and if appropriate, one or more co-reactants. CVD and ALD deposition of tantalum-containing layers can include using precursors such as pentakis-dimethylamino tantalum (PDMAT) and TaF 5 and, if appropriate, one or more co-reactants. CVD and ALD deposition of cobalt-containing layers can include using precursors such as Tris(2,2,6,6-tetramethyl-3,5- heptanedionato)cobalt, bis(cyclopentadienyl)cobalt, and dicobalt hexacarbonyl butylacetylene, and one or more co-reactants. CVD and ALD deposition of nickel- containing layers can include using precursors such as cyclopentadienylallylnickel (CpAllylNi) and MeCp 2 Ni. Examples of co-reactants can include N 2 , NH 3 , N 2 H 4 , N 2 H 6 , SiH 4 , Si 3 H 6 , B 2 H 6 , H 2 , and A1C1 3 .

[0060] Distribution of a material within a feature may also be characterized by its step coverage. For the purposes of this description, "step coverage" is defined as a ratio of two thicknesses, i.e., the thickness of the material inside the feature divided by the thickness of the material near the opening. For purposes of this document, the term "inside the feature" represents a middle portion of the feature located about the middle point of the feature along the feature's axis, e.g., an area between about 25% and 75%) of the distance or, in certain embodiments, between about 40% and 60%> of the distance along the feature's depth measured from the feature's opening, or an end portion of the feature located between about 75% and 95% of the distance along the feature's axis as measured from the opening. The term "near the opening of the feature" or "near the feature's opening" represents a top portion of the feature located within 25%) or, more specifically, within 10% of the opening's edge or other element representative of the opening's edge. Step coverage of over 100% can be achieved, for example, by filling a feature wider in the middle or near the bottom of the feature than at the feature opening.

[0061] In certain embodiments, a targeted step coverage of the etched layer is at least about 60%, 75%, 100%, or super-conformal (over 100%), such as 125%. In certain embodiments, a step coverage below about 50%, 25% or less may be targeted.

Diborane with a Hydrogen Balance

[0062] As discussed earlier, uniform deposition of tungsten in horizontal wordline features of a 3-D VNAND structure may pose significant challenges. With larger and larger aspect ratios and more and more stacked horizontal wordlines in a 3-D VNAND structure, a precursor gas may have to travel farther and through more constricted paths to regions where uniform tungsten deposition is desired. Challenges associated with uniform deposition of tungsten in 3-D VNAND structures are discussed with respect to FIGS. 1 A-1D, 2A-2B, and 3A-3C.

[0063] The present disclosure relates to deposition of a transition metal, such as tungsten molybdenum, ruthenium, or cobalt, in horizontally-oriented features of a vertical structure of a semiconductor substrate. A semiconductor substrate may include a vertical structure, such as a 3-D vertical NAND structure. The semiconductor substrate may further include a plurality of horizontally-oriented features, such as wordlines in a 3-D vertical NAND structure. The horizontally- oriented features may include constrictions. The semiconductor substrate may include the vertical structure having the plurality of horizontally-oriented features with openings in sidewalls of the vertical structure that are fluidically accessible from the vertical structure through the openings. An example of vertical structures with a plurality of horizontally-oriented features is shown in FIGS. 2A-2B.

[0064] Deposition of void-free tungsten fill, or other transition metal fill, relies in part on migration of sufficient quantities of precursor gas down through the vertical structure, through openings in sidewalls of the vertical structure, past constricting pillars, and into the plurality of horizontally-oriented features. The vertical structure and the plurality of horizontally-oriented features may have high aspect ratios. In some implementations, the horizontally-oriented features may have depths on the order of a few microns to several microns. The plurality of horizontally-oriented features may provide large surface areas for which uniform transition metal deposition is desired. Accordingly, providing sufficient quantities of precursor gas to travel long distances past constricting barriers to saturate large surface areas in a semiconductor substrate may present significant challenges. [0065] Diborane may react on a substrate surface to form a "sacrificial layer" of elemental boron that subsequently reacts with a metal precursor to form a metal layer, where the elemental boron acts as a reducing agent. Deposition of diborane on the substrate surface is not a self-limiting process and typically results in poor step coverage of the metal layer on the substrate surface. Poor step coverage may result in non-uniform deposition of the metal layer and the formation of voids in a metal fill. In addition, the diborane is typically delivered with a nitrogen (N 2 ) balance that limits the diborane concentration. For example, diborane is provided with a nitrogen balance where the limits of diborane concentration is equal to or less than about 5% by volume of diborane. Having such a limit on diborane concentration may be due at least in part to controlling the stability of diborane so as to limit diborane decomposition. With such limits on diborane concentration, very high flows and/or very long dose times are needed to deliver a sufficient dose to the substrate to cover large surface areas and provide adequate nucleation sites for subsequent metal (e.g., tungsten) growth. Higher gas flows and longer dose times adversely affect gas usage and throughput.

[0066] Instead of having a diborane gas supply in which diborane is supplied with a nitrogen balance, the present disclosure relates to having a diborane gas supply in which diborane is supplied with a hydrogen (H 2 ) balance. Prior to entering a deposition chamber, a boron-containing gas mixture may be provided, where the boron-containing gas mixture includes diborane and hydrogen. The hydrogen is not pulsed separately than the diborane, such as having hydrogen pulsed as a purge gas subsequent to the diborane. The hydrogen is also not delivered from a separate gas line or separate gas supply into the deposition chamber, such as having hydrogen delivered with the diborane as a carrier gas. The diborane is delivered together into the deposition chamber with hydrogen as a co-reactant with the diborane, where the diborane is balanced with the hydrogen in a gas mixture. In some implementations, the diborane is stored together with the hydrogen in a gas supply prior to delivery to the deposition chamber.

[0067] The gas mixture of diborane and hydrogen may provide a higher diborane concentration than a gas mixture of diborane and nitrogen. In some implementations, the gas mixture includes at least 20% by volume of diborane with a hydrogen balance. In some implementations, the gas mixture includes between about 20%-50% by volume of diborane with a hydrogen balance. The higher diborane concentration leads to a higher partial pressure dose of diborane delivered to a semiconductor substrate. The higher partial pressure dose of diborane allows a greater quantity of diborane to reach into a plurality of horizontally-oriented features of a vertical structure and saturate large surface areas. For example, the higher partial pressure dose of diborane may facilitate a greater quantity of diborane reaching a plurality of horizontal wordline features in a 3-D vertical NA D structure. This can be done without necessarily increasing gas flows and dose time.

[0068] Providing a balance of hydrogen with diborane stabilizes the diborane to limit decomposition of diborane. Diborane will form elemental boron and hydrogen gas upon decomposition: B 2 H 6 → 2B (S) + 3H 2(g) . Because the decomposition of diborane is a reversible reaction, adding hydrogen slows down the decomposition of diborane. FIG. 5 shows a graph of diborane concentration across hundreds of days, where diborane is mixed with a balance of nitrogen, with a balance of hydrogen, or with a balance of hydrogen and nitrogen. As shown in FIG. 5, a balance of nitrogen with diborane can lead to reduced diborane concentration from 5% by volume of diborane to 4.5% by volume of diborane in about a month, whereas a balance of hydrogen with diborane may maintain the diborane concentration at about 5% by volume of diborane over a few years.

[0069] Diborane is capable of pyrolysis to produce higher boranes. Diborane may dissociate into borane as shown below, and the borane may serve as a reaction intermediate that undergoes a series of reactions to result in a higher boranes and molecular hydrogen. Further steps can give rise to successively higher boranes. The higher boranes produced by pyrolysis may reduce hardware lifetimes of systems and apparatuses that store diborane. The higher boranes may eventually decompose to form elemental boron and hydrogen.

(1) B 2 H 6 <→ 2BH 3

(3) B 3 H 9 → B 3 H 7 + H 2 (rate determining step)

(4) BH 3 + B 3 H 7 <→ B 4 Hio (5) B 2 H 6 + B 3 H 7 B 5 Hii + H 2

The addition of hydrogen with diborane in a gas mixture may slow down the pyrolysis of diborane. Thus, diborane with a balance of hydrogen stabilizes the diborane from decomposing. The diborane may be slowed from decomposing when stored, delivered, and adsorbed on a substrate surface. [0070] Deposition of diborane on a substrate surface is not a self-limiting process. Accordingly, unlike other reducing agents, the decomposition of diborane to elemental boron is not a self-limiting reaction. Instead of being limited to a number of reactive sites on the substrate surface, boron may be continuously formed on the substrate surface by decomposition of diborane. Typically, deposition of boron by decomposition of diborane leads to poor step coverage due in part to not being a self- limiting process. However, the addition of hydrogen to balance diborane slows down the decomposition so that deposition of boron can have improved step coverage.

[0071] Using diborane with a balance of hydrogen, a boron layer may be formed on the substrate surface that serves as a reducing agent in converting a metal precursor to metal. This can occur in any part of a sequence for filling a feature with metal (e.g., tungsten). That way, diborane may be deposited prior to, during, or after bulk metal filling of a feature. In some implementations, diborane may be deposited in a "soak" process or PNL process prior to bulk metal filling. In some implementations, diborane may be deposited in a soak process or PNL process during bulk metal filling when the bulk metal filling is partially complete. In some implementations, multiple soak cycles and/or nucleation cycles may be combined prior to or during bulk metal filling of a feature. In some implementations, diborane may be deposited in a CVD process with a metal precursor for bulk metal filling of a feature. [0072] A "soak" or "initiation soak" process may precondition a substrate surface by exposing the substrate to a gas, which promotes the growth of metal with no nucleation delay. In some implementations, a soak process may exposure the substrate surface to a gas such as diborane in a gaseous state for about 1 to about 60 seconds. By way of an example, a soak process can include a single pulse of diborane (and purge) prior to a pulsed nucleation process. This establishes a boron layer as a reducing agent on the substrate surface, which can reduce or eliminate nucleation delays by providing an optimal surface for metal nucleation regardless of the adhesion layer applied during prior processing of the substrate (such as a Ti-TiN layer). Note that a soak step can be viewed as an initial cycle, such as a long initial diborane dose employed to improve nucleation density and reduce nucleation delay.

[0073] A pulsed nucleation process may use a PNL technique to deposit a thin conformal metal layer that facilitates subsequent bulk metal deposition. In a pulsed nucleation process, pulses of diborane or silane, optional purge gases, and metal precursor are sequentially injected into and purged from the deposition chamber. The process is repeated until a desired thickness is achieved. In some implementations, a soak step and a pulsed nucleation step may be combined before or during bulk metal filling of a feature. The soak step and the pulsed nucleation step may occur in any sequence and any number of times before or during bulk metal filling of a feature. In terms of sequence, a soak process may be followed by a pulsed nucleation process, or a pulsed nucleation process may be followed by a soak process. Examples of various sequences in depositing tungsten are shown in FIGS. 6A-6D. [0074] FIG. 6A shows a schematic representation of a tungsten stack formed according to a sequence of soak, pulsed nucleation, and bulk filling operations. The tungsten stack in FIG. 6A may be formed according to a B/BW/B/W sequence of operations, where B represents a soak step, BW represents a diborane pulsed nucleation step, and W represents a bulk tungsten fill step. In FIG. 6A, an initial soak step can deposit diborane to establish a first boron layer 602 that serves as a reducing agent for a tungsten-containing precursor, where the tungsten-containing precursor may be provided as a reactant in a subsequent step. A subsequent pulsed nucleation step can sequentially pulse diborane, optional purge gases, and a tungsten-containing precursor to form a tungsten nucleation layer 604. A subsequent soak step can further deposit diborane over the tungsten nucleation layer 604. The deposited diborane may establish a second boron layer 606 that serves as a reducing agent for a tungsten- containing precursor, where the tungsten-containing precursor may be provided as a reactant in a subsequent step. A subsequent bulk tungsten fill step can use a CVD technique to flow reactants of a reducing agent and a tungsten-containing precursor simultaneously and continuously until a desired amount of tungsten 608 is deposited.

[0075] FIG. 6B shows a schematic representation of a tungsten stack formed according to another sequence of soak, pulsed nucleation, and bulk filling operations. The tungsten stack in FIG. 6B may be formed according to a B/BW/B/SW/W sequence of operations, where SW represents a silane pulsed nucleation step. Like FIG. 6A, an initial soak step is followed by a pulsed nucleation step using diborane, which is followed by a subsequent soak step. Unlike FIG. 6A, however, FIG. 6B adds a subsequent pulsed nucleation step that sequentially pulses silane, optional purge gases, and a tungsten-containing precursor to form an intermediate tungsten nucleation layer 610. A subsequent bulk tungsten fill step can use a CVD technique to flow reactants of a reducing agent and a tungsten-containing precursor simultaneously and continuously until a desired amount of tungsten 608 is deposited. [0076] FIG. 6C shows a schematic representation of a tungsten stack formed according to another sequence of soak, pulsed nucleation, and bulk filling operations. The tungsten stack in FIG. 6C may be formed according to a SW/B/SW/B/W sequence of operations. Like FIG. 6A, an initial soak step is followed by a pulsed nucleation step using diborane, which is followed by a subsequent soak step, and which is followed by a subsequent bulk tungsten fill step. Unlike FIG. 6 A, however, FIG. 6C adds an initial pulsed nucleation step that sequentially pulses silane, optional purge gases, and a tungsten-containing precursor to form an initial tungsten nucleation layer 612 prior to an initial soak step.

[0077] FIG. 6D shows a schematic representation of a tungsten stack formed according to another sequence of soak, pulsed nucleation, and bulk filling operations. The tungsten stack in FIG. 6D may be formed according to a BW/W/B/BW/B/W sequence of operations. In FIG. 6D, an initial pulsed nucleation step can sequentially pulse diborane, optional purge gases, and a tungsten-containing precursor to form a first tungsten nucleation layer 614. A subsequent bulk tungsten fill step can use a CVD technique to flow reactants of a reducing agent and a tungsten-containing precursor simultaneously and continuously until a desired amount of tungsten 616 is formed. The desired amount of tungsten 616 formed during this step may only partially complete the bulk tungsten filling process. A subsequent soak step can deposit diborane to establish a first boron layer 618 that serves as a reducing agent for a tungsten-containing precursor, where the tungsten-containing precursor may be provided as a reactant in a subsequent step. Such a step may "interrupt" the bulk tungsten filling process. Put another way, diborane may be deposited in a soak step and/or a pulsed nucleation step when the bulk tungsten filling process is not entirely complete. A subsequent pulsed nucleation step can sequentially pulse diborane, optional purge gases, and a tungsten-containing precursor to form a second tungsten nucleation layer 620. A subsequent soak step can further deposit diborane over the second tungsten nucleation layer 620. The deposited diborane may establish a second boron layer 622 that serves as a reducing agent for a tungsten-containing precursor, where the tungsten-containing precursor may be provided as a reactant in a subsequent step. A subsequent bulk tungsten fill step can use a CVD technique to flow reactants of a reducing agent and a tungsten-containing precursor simultaneously and continuously until a desired amount of tungsten 624 is deposited.

[0078] FIG. 7 shows a flow diagram illustrating an example method of depositing a transition metal layer using diborane with a balance of hydrogen. The process 700 may be performed in a different order or with different, fewer, or additional operations. In some implementations, the blocks of the process 700 may be performed using a system controller described below. In some implementations, the blocks of the process 700 may be implemented, at least in part, according to software stored on one or more non-transitory computer readable media.

[0079] The process 700 relates to delivery of diborane to a substrate surface for depositing metal in a plurality of horizontally-oriented features in a vertical structure. The diborane is delivered in a gas mixture having diborane balanced with hydrogen. Diborane delivery and conversion to metal may be a step in a sequence of steps for depositing metal in a feature.

[0080] At block 702 of the process 700, a gas mixture is optionally provided in a gas supply line, where the gas mixture includes diborane with a balance of hydrogen. Rather than providing diborane with a balance of nitrogen, diborane may be provided with a balance of hydrogen. With a balance of nitrogen, the concentration of diborane is limited (e.g., 5% by volume or less), a hardware lifetime is reduced, and step coverage on a substrate surface is poor. With a balance of hydrogen, however, the concentration of diborane is increased (e.g., 20% by volume or greater), a hardware lifetime is increased, and step coverage on a substrate surface is improved.

[0081] The gas supply line may be coupled to a deposition chamber for depositing a transition metal on a substrate surface. Diborane with the balance of hydrogen is provided from a common source prior to delivery into the deposition chamber. In some implementations, the gas mixture is substantially free of nitrogen. [0082] At block 704 of the process 700, the gas mixture is introduced from the gas supply line into a deposition chamber to a surface of a semiconductor substrate. The semiconductor substrate includes a vertical structure having a plurality of horizontally-oriented features. The diborane decomposes to form a boron layer in the horizontally-oriented features. In some implementations, the diborane balanced with hydrogen may be flowed with a suitable inert carrier gas such as hydrogen, nitrogen, or argon.

[0083] In some implementations, the vertical structure includes a 3-D vertical NA D structure. In some implementations, the plurality of horizontally-oriented features may include horizontal wordline features. The horizontal wordline features may have high aspect ratios, where the aspect ratio of a horizontal wordline feature may represent a ratio of a depth or length (L) of the wordline feature against a height (h) of the gap of the wordline feature. For a single stairway contact scheme, the aspect ratio may be calculated as L:2h, whereas for a double stairway contact scheme, the aspect ratio may be calculated as L:h. In some implementations, the horizontal wordline features may have aspect ratios of at least about 4: 1, or at least about 6: 1, or at least about 10: 1, or at least about 20: 1, or at least about 60: 1, or even higher. In some implementations, the horizontal wordline features may have depths on the order of a few microns to several microns. The horizontal wordline features may include constrictions. In some implementations, the semiconductor substrate may include the vertical structure having the plurality of horizontally-oriented features with openings in sidewalls of the vertical structure that are fluidically accessible from the vertical structure through the openings. An example of vertical structures with a plurality of horizontally-oriented features is shown in FIGS. 2A-2B.

[0084] A concentration of a diborane dose may be high in order to effectively deposit in 3-D vertical NAND structures that have high surface area. The diborane balanced with hydrogen delivers an increased dose of diborane without necessarily having to increase gas flows and dose times. Thus, sufficient quantities of diborane may be deposited throughout the 3-D vertical NAND structures and into the furthest reaches of the horizontal wordline features. The deposited diborane may undergo thermal decomposition and form a boron layer in the horizontally-oriented features, where the boron layer acts as a reducing agent. Due in part to hydrogen slowing down the decomposition of diborane into elemental boron, the boron layer may be conformally deposited in the horizontally-oriented features of the vertical structure, where the step coverage of the boron layer is at least 70%, at least 80%, at least 85%, at least 90%, or at least 95%.

[0085] In some implementations, the semiconductor substrate is exposed to a pulse of the diborane balanced with hydrogen in a P L cycle for a period of time, such as between about 0.1 seconds and about 10 seconds. The pulse may optionally be followed by a purge with a suitable purge gas such as hydrogen, nitrogen, or argon. In some implementations, the purge gas may be running in the background throughout the PNL cycle. The diborane on the semiconductor substrate decomposes to form the boron layer. The semiconductor substrate may be subsequently exposed to a transition metal precursor, such as a tungsten-containing precursor, in the PNL cycle for a period of time. In some implementations, such a period of time may be between about 0.1 seconds and about 10 seconds.

[0086] In some implementations, the semiconductor substrate is exposed to a "soak" of the diborane balanced with hydrogen for a period of time, such as between about 1 second and about 60 seconds. The diborane may be in a gaseous state. The pulse may optionally be followed by a purge with a suitable purge gas such as hydrogen, nitrogen, or argon.

[0087] At block 706 of the process 700, the boron layer is converted to a transition metal layer in the semiconductor substrate. For example, the transition metal layer can include molybdenum, ruthenium, cobalt, or tungsten. In some implementations, the semiconductor substrate is exposed to a transition metal precursor, such as a tungsten-containing precursor. In some implementations, the tungsten-containing precursor includes tungsten hexafluoride (WF 6 ), tungsten hexachloride (WC1 6 ), or tungsten hexacarbonyl (W(CO) 6 ). The tungsten-containing precursor may be reduced by the boron layer to form elemental tungsten. In some implementations, the transition metal layer may be conformally deposited in the horizontally-oriented features of the vertical structure, where a step coverage of the transition metal layer is at least 85%, at least 90%, or at least 95%.

[0088] In some implementations, the process 700 further includes exposing the semiconductor substrate to a reducing gas, where the reducing gas includes silane, disilane, or hydrogen. In some implementations, a silane reducing gas may be deposited in a conventional P L process. The silane reducing gas contacts the substrate for a period of between about 0.1 seconds and about 10 seconds, and is then purged from the deposition chamber using a purge gas. The silane reducing gas may convert a transition metal precursor to metal. In some implementations, a silane reducing gas, disilane reducing gas, or hydrogen reducing gas is used in a CVD process for bulk deposition of metal.

Apparatus

[0089] The methods presented herein may be carried out in a variety of apparatuses available from various vendors. Examples of apparatuses which may be suitable include the Novellus Concept- 1 ALTUS™, Concept-2 ALTUS™, Concept-2 ALTUS-S™, Concept-3 ALTUS™, and ALTUS Max™ deposition systems, or any of a variety of other commercially available chemical vapor deposition (CVD) tools. Figure 8 illustrates a schematic representation of an apparatus 800 for processing a partially fabricated semiconductor substrate in accordance with certain embodiments. The apparatus 800 includes a chamber 818 with a pedestal 820, a showerhead 814, an in-situ plasma generator 816, and a remote plasma generator 806. The pedestal 820 is configured to support a semiconductor substrate 828. The apparatus 800 also includes a system controller 822 to receive input and/or supply control signals to various devices. [0090] Deposition reagents, including diborane balanced with hydrogen, may be supplied from a source 802, which may be a storage tank. In some implementations, the deposition reagents are supplied to the remote plasma generator 806. Any suitable remote plasma generator may be used for activating the deposition reagents before introducing it into a deposition chamber 818. For example, remote plasma generating units, such as ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645, all available from MKS Instruments of Andover, Massachusetts, may be used. In general, a remote plasma generator unit is typically a self-contained device generating a free-radical based plasma (which may also to some extent be weakly ionized) using the supplied deposition reagent. The remote plasma generator is a high power RF generator that provides energy to the electrons in the plasma. This energy is then transferred to the neutral reagent leading to temperature on the order of 20,00K causing thermal dissociation of these molecules. A remote plasma generator unit may dissociate more than 60% of incoming reagent because of its high RF energy and special channel geometry causing the etchant to adsorb most of this energy.

[0091] In certain embodiments, such as that illustrated in Figure 8, one or more reagents are flowed from the remote plasma generator 806 through a connecting line 808 into the deposition chamber 818, where the mixture is distributed through showerhead 814. In other embodiments, one or more reagents are flowed into the deposition chamber 818 directly completely bypassing the remote plasma generator 806 (e.g., the apparatus 800 does not include such generator 806). Alternatively, the remote plasma generator 806 may be turned off while flowing the one or more reagents into the deposition chamber 818, for example, because activation of the one or more reagents is not needed. In addition, in certain embodiments, inert gases, such as argon, helium and others, are also supplied from a source 803, which may also be a storage tank. [0092] Once precursor reagents are introduced into the deposition chamber 818, in situ plasma activation may be accomplished via an internal plasma generator 816 attached to the showerhead 814 and the pedestal 820. In a particular embodiment, the internal plasma generator 816 is a High Frequency (HF) RF generator capable of providing between about 0 W and 10,000 W of power at frequencies between about 1 and 100 MHz. In a more specific embodiment, the HF RF generator may deliver between about 0 and 5,000 W of power at about 13.56 MHz. In some implementations, the internal plasma generator 816 may generate an in-situ plasma to enhance removal of deposited metal.

[0093] The chamber 818 may include a sensor 824 for sensing various process parameters, such as degree of deposition and etching, concentrations, pressure, temperature, and others. The sensor 824 may provide information on chamber conditions during the process to the system controller 822. Examples of the sensor 824 include mass flow controllers, pressure sensors, thermocouples, and others. The sensor 824 may also include an infra-red detector or optical detector to monitor presence of gases in the chamber and control measures. The sensor 824 provide information which may be used to determine the flow rates of reducing agents and tungsten-containing precursors to the processing chamber, as well as flow rates of plasma species and their precursors from and to, respectively, the remote plasma generator 806.

[0094] Deposition and selective removal operations generate various volatile species that are evacuated from the deposition chamber 818. Moreover, processing is performed at certain predetermined pressure levels the chamber 818. Both of these functions are achieved using a vacuum outlet 826, which may be a vacuum pump.

[0095] In certain embodiments, a system controller 822 is employed to control process conditions and parameters during metal deposition and etch operations. The system controller 822 typically includes one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Typically there will be a user interface associated with system controller 822. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.

[0096] In certain embodiments, the system controller 822 controls the substrate temperature, chamber pressure, reagent flow rates, power output and operating frequency (or frequency range) of the remote plasma generator 806, power output and operating frequency (or frequency range) of the in situ plasma generator 816, and other process parameters. The system controller 822 executes system control software and instructions to provide the aforementioned control. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.

[0097] The computer program code for controlling the processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the described processes. Examples of programs or sections of programs for this purpose include substrate temperature control code, chamber pressure control code, reagent flow rate control code, process gas control code, remote plasma generator control code (possibly including power output and operating frequency control code), in situ plasma generator control code (possibly including power output and operating frequency control code), and control code for other process parameters.

[0098] Parameters for controlling substrate temperature, chamber pressure, reagent flow rates, power output and operating frequency (or frequency range) of the remote plasma generator, power output and operating frequency (or frequency range) of the in situ plasma generator, and other process parameters may be provided by the user in the form of a recipe, and may be entered utilizing the user interface.

[0099] Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 822. The signals for controlling processes are output on the analog and digital output connections of the apparatus 800.

[0100] In some implementations, the system controller 822 is configured with instructions for performing the following operations: introduce a gas mixture from a gas supply line into a deposition chamber to a surface of a semiconductor substrate, where the gas mixture includes diborane with a balance of hydrogen, where the semiconductor substrate includes a vertical structure having a plurality of horizontally-oriented features, where the diborane decomposes to form a boron layer in the horizontally-oriented features; and convert the boron layer to a transition metal layer in the semiconductor substrate. In some implementations, converting the boron layer includes exposing the boron layer to a transition metal precursor. [0101] Figure 9 shows an example of a multi-station apparatus 900. The apparatus 900 includes a process chamber 901 and one or more cassettes 903 (e.g., Front Opening Unified Pods) for holding substrates to be processed and substrates that have completed processing. The chamber 901 may have a number of stations, for example, two stations, three stations, four stations, five stations, six stations, seven stations, eight stations, ten stations, or any other number of stations. The number of stations in usually determined by a complexity of the processing operations and a number of these operations that can be performed in a shared environment. Figure 9 illustrates the process chamber 901 that includes six stations, labeled 911 through 916. All stations in the multi-station apparatus 900 with a single process chamber 901 are exposed to the same pressure environment. However, each station may have a designated reactant distribution system and local plasma and heating conditions achieved by a dedicated plasma generator and pedestal, such as the ones illustrated in Figure 8.

[0102] A substrate to be processed is loaded from one of the cassettes 903 through a load-lock 905 into the station 911. An external robot 907 may be used to transfer the substrate from the cassette 903 and into the load-lock 905. In the depicted embodiment, there are two separate load locks 905. These are typically equipped with substrate transferring devices to move substrates from the load-lock 905 (once the pressure is equilibrated to a level corresponding to the internal environment of the process chamber 901) into the station 911 and from the station 916 back into the load- lock 905 for removal from the processing chamber 901. A mechanism 909 is used to transfer substrates among the processing stations 911-916 and support some of the substrates during the process as described below.

[0103] In certain embodiments, one or more stations may be reserved for heating the substrate. Such stations may have a heating lamp (not shown) positioned above the substrate and/or a heating pedestal supporting the substrate similar to one illustrated in Figure 8. For example, a station 911 may receive a substrate from a load-lock and be used to pre-heat the substrate before being further processed. Other stations may be used for filling high aspect ratio features including deposition and etching operations.

[0104] After the substrate is heated or otherwise processed at the station 911, the substrate is moved successively to the processing stations 912, 913, 914, 915, and 916, which may or may not be arranged sequentially. The multi-station apparatus 900 is configured such that all stations are exposed to the same pressure environment. In so doing, the substrates are transferred from the station 911 to other stations in the chamber 901 without a need for transfer ports, such as load-locks. [0105] In certain embodiments, one or more stations may be used to fill features with tungsten-containing materials. For example, stations 912 may be used for an initial deposition operation, station 913 may be used for a corresponding selective removal operation. In the embodiments where a deposition-removal cycle is repeated, stations 914 may be used for another deposition operations and station 915 may be used for another partial removal operation. Section 916 may be used for the final filling operation. It should be understood that any configurations of station designations to specific processes (heating, filling, and removal) may be used.

[0106] As an alternative to the multi-station apparatus described above, the method may be implemented in a single substrate chamber or a multi-station chamber processing a substrate(s) in a single processing station in batch mode (i.e., non- sequential). In this aspect of the invention, the substrate is loaded into the chamber and positioned on the pedestal of the single processing station (whether it is an apparatus having only one processing station or an apparatus having multi-stations running in batch mode). The substrate may be then heated and the deposition operation may be conducted. The process conditions in the chamber may be then adjusted and the selective removal of the deposited layer is then performed. The process may continue with one or more deposition-removal cycles and with the final filling operation all performed on the same station. Alternatively, a single station apparatus may be first used to perform only one of the operation in the new method (e.g., depositing, selective removal, final filling) on multiple wafers after which the substrates may be returned back to the same station or moved to a different station (e.g., of a different apparatus) to perform one or more of the remaining operations.

[0107] FIG. 10 is a schematic illustration of a multi-chamber apparatus 1020 that may be used in accordance with certain embodiments. As shown, the apparatus 1020 has three separate chambers 1021, 1023, and 1025. Each of these chambers is illustrated with two pedestals. It should be understood that an apparatus may have any number of chambers (e.g., one, two, three, four, five, six, etc.) and each chamber may have any number of chambers (e.g., one, two, three, four, five, six, etc.). Each chamber 1021-1025 has its own pressure environment, which is not shared between chambers. Each chamber may have one or more corresponding transfer ports (e.g., load-locks). The apparatus may also have a shared substrate handling robot 1027 for transferring substrates between the transfer ports one or more cassettes 1029. [0108] As noted above, separate chambers may be used for depositing tungsten containing materials and selective removal of these deposited materials in later operations. Separating these two operations into different chambers can help to substantially improve processing speeds by maintaining the same environmental conditions in each chamber. In other words, a chamber does not need to change its environment from conditions used for deposition to conditions used for selective removal and back, which may involve different precursors, different temperatures, pressures, and other process parameters. In certain embodiments, it is faster to transfer partially manufactured semiconductor substrates between two or more different chambers than changing environmental conditions of these chambers.

[0109] In some embodiments, a system controller (which may include one or more physical or logical controllers) controls some or all of the operations of an etching chamber, a deposition chamber, and/or a multi-module tool including one or more etching modules and/or one or more deposition modules. The system controller may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.

[0110] The system control software may include instructions for controlling the timing of application and/or magnitude of any one or more of the following chamber operational conditions: the mixture and/or composition of gases, chamber pressure, chamber temperature, wafer temperature, the bias applied to the wafer, the frequency and power applied to coils or other plasma generation components, wafer position, wafer movement speed, and other parameters of a particular process performed by the tool. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language. [0111] In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller. The instructions for setting process conditions for an etching phase may be included in a corresponding etching recipe phase, for example. In some embodiments, the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. The instructions for setting process conditions for an deposition phase may be included in a corresponding deposition recipe phase. [0112] Other computer software and/or programs may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas composition control program, a pressure control program, a heater control program, and an RF power supply control program. [0113] In some cases, the controllers control gas concentration, wafer movement, and/or the power supplied to the coils and/or electrostatic chuck. In some cases, the controllers control gas concentration, wafer movement, and/or the power supplied to a remote plasma generator.

[0114] The controller may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas streams that provide the necessary reactant(s) at the proper concentration(s). The wafer movement may be controlled by, for example, directing a wafer positioning system to move as desired.

The power supplied to the coils and/or chuck and/or remote plasma generator may be controlled to provide particular RF power levels. The controllers may control these or other aspects based on sensor output (e.g., when power, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process) or based on received instructions from a user.

Photolithography

[0115] The apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or X-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma- assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

Other Embodiments

[0116] Although the foregoing disclosed processes, methods, systems, apparatuses, and compositions have been described in detail within the context of specific embodiments for the purpose of promoting clarity and understanding, it will be apparent to one of ordinary skill in the art that there are many alternative ways of implementing these processes, methods, systems, apparatuses, and compositions which are within the spirit of this disclosure. Accordingly, the embodiments described herein are to be viewed as illustrative of the disclosed inventive concepts rather than restrictively, and are not to be used as an impermissible basis for unduly limiting the scope of any claims eventually directed to the subject matter of this disclosure.