Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD AND APPARATUS FOR LITHOGRAPHIC IMAGING
Document Type and Number:
WIPO Patent Application WO/2023/117263
Kind Code:
A1
Abstract:
A method of compensating for focus deviations on a substrate having a plurality of layers present thereon includes generating a focus prediction map (108) for the substrate. In one approach, the focus prediction map is generated by obtaining key performance indicator (KPI) data (106) on the substrate using an alignment sensor, determining a correlation between the KPI data and focus offset data (102) for positions on the substrate, and using the correlation and the KPI data, generating a focus prediction map for the substrate. In another approach, the prediction map is generated by obtaining a first layer height map for a first layer, measuring, with a level sensor, a second layer height map for a second layer overlying the first layer, and subtracting the first height map from the second height map to obtain a delta height map for the substrate.

Inventors:
SCHMITT-WEAVER EMIL (NL)
BHATTACHARYYA KAUSTUVE (NL)
CHEON DONG (NL)
VAN LEEST ADRIAAN (NL)
Application Number:
PCT/EP2022/082811
Publication Date:
June 29, 2023
Filing Date:
November 22, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
International Classes:
G03F9/00
Domestic Patent References:
WO2021239448A12021-12-02
Foreign References:
US20210241449A12021-08-05
US20190094713A12019-03-28
US20060066855A12006-03-30
US7466413B22008-12-16
US8797554B22014-08-05
EP1372040A22003-12-17
Other References:
"METHOD AND APPARATUS FOR LITHOGRAPHIC IMAGING", vol. 694, no. 6, 3 January 2022 (2022-01-03), XP007149933, ISSN: 0374-4353, Retrieved from the Internet [retrieved on 20220103]
Attorney, Agent or Firm:
ASML NETHERLANDS B.V. (NL)
Download PDF:
Claims:
CLAIMS

1. A method of compensating for focus deviations on a substrate having a plurality of layers present thereon comprises: obtaining key performance indicator data for each of a plurality of alignment marks on the substrate using an alignment sensor of a photolithographic apparatus; determining a correlation between the key performance indicator data and focus offset data for positions on the substrate; and using the correlation and the key performance indicator data, generating a focus prediction map for the substrate.

2. A method as in claim 1, wherein the positions on the substrate correspond to positions of the plurality of alignment marks.

3. A method as in claim 1, wherein the positions on the substrate correspond to positions defined by a focus model for the substrate.

4. A method as in claim 1, wherein the key performance indicator data are signal strength data.

5. A method as in claim 1, wherein the correlation is process dependent and layer dependent.

6. A method as in claim 1, wherein the correlation is expressed as a mathematical function.

7. A method as in claim 6, wherein the correlation is expressed as a first order 2D polynomial function.

8. A method as in claim 1, further comprising: prior to the determining a correlation, removing at least a portion of intrafield focus data from the key performance indicator data to produce smoothed interfield focus data, wherein the using the correlation and the key performance indicator data comprises using the smoothed interfield focus data.

9. A method as in claim 1, wherein the key performance indicator data is obtained using a plurality of wavelengths of alignment sensor radiation for each of the plurality of alignment marks.

10. A method as in claim 1, wherein the generating further comprises using level sensor data measured from the substrate. 11. A method as in claim 1, further comprising, using the focus prediction map to adjust focus for an imaging process of a subsequent layer of the substrate.

12. A method of compensating for focus deviations on a substrate having a plurality of layers present thereon comprises: obtaining a first layer height map for a first layer of the plurality of layers; measuring a second layer height map for a second layer of the plurality of layers, wherein the second layer overlies the first layer, using a level sensor; subtracting the first layer height map from the second layer height map to obtain a delta height map for the substrate; and using the delta height map to generate a focus prediction map for the substrate.

13. A method as in claim 12, wherein the obtaining a first layer height map comprises reading stored height map data for the first layer.

14. A method as in claim 12, wherein the first layer height map is obtained using a level sensor.

15. A method as in claim 12, wherein the first layer is a top most layer that includes alignment or overlay metrology marks, and the second layer is a layer that is to be exposed in a subsequent exposure operation.

16. A method as in claim 12, further comprising, using the focus prediction map to adjust focus for an imaging process of a subsequent layer of the substrate.

Description:
METHOD AND APPARATUS FOR LITHOGRAPHIC IMAGING

CROSS-REFERENCE TO RELATED APPLICATION

[0001] The application claims priority of provisional patent application US 63/291,634 which was filed on 20 December, 2021 and which is incorporated herein in its entirety by reference.

FIELD

[0002] The present disclosure relates generally to metrology methods and tools for use in lithographic apparatuses and more particularly to methods and tools providing a focus correcting functionality.

BACKGROUND

[0003] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.

SUMMARY

[0004] In order to allow a number of patterned layers to be positioned on a substrate, it is desirable to accurately set the position of the substrate relative to the radiation beam and the patterning device. This may be performed by accurately positioning the substrate on a substrate table and positioning the substrate table relative to the radiation beam and the patterning device.

[0005] Alignment of the substrate may be performed. In one alignment system, a number of alignment marks on the substrate are measured to derive a coordinate system, which is compared to a modelled grid to derive the positions of features on the substrate. Clamping of the substrate on the substrate table, or wafer distortion occurring in non-lithography process steps, may cause distortion of the substrate, which can be monitored by comparison of the measurements to the grid. Models describing the wafer grid may be created which are used in exposing wafers so as to compensate for the distortions.

[0006] One property of particular interest is overlay, i.e., the alignment of successive layers formed on the substrate. Measurements of overlay may be made using a modelled grid as described above. Grid models describing the overlay error over the substrate with respect to the previous layer may be produced and used in a control loop to ensure lot to lot consistency.

[0007] The present description relates to methods and apparatus for compensating for focus deviations on a substrate having a plurality of layers present thereon, including obtaining key performance indicator data for each of a plurality of alignment marks on the substrate using an alignment sensor of a photolithographic apparatus, determining a correlation between the key performance indicator data and focus offset data for positions corresponding to positions of the plurality of alignment marks on the substrate, and, using the correlation and the key performance indicator data, generating a focus prediction map for the substrate. In an embodiment, positions on the focus grid model may be used rather than the positions of the alignment marks themselves.

[0008] In an aspect, there is a method of compensating for focus deviations on a substrate having a plurality of layers present thereon including obtaining a first layer height map for a first layer of the plurality of layers, measuring a second layer height map for a second layer of the plurality of layers, wherein the second layer overlies the first layer, using a level sensor, subtracting the first layer height map from the second layer height map to obtain a delta height map for the substrate, and using the delta height map to generate a focus prediction map for the substrate.

[0009] In an aspect, there is provided a method of manufacturing devices wherein a device pattern is applied to a series of substrates using a lithographic process, the method including correcting focus for a subsequent layer using a method described herein and exposing the device pattern onto the substrates.

[0010] In an aspect, there is provided a non-transitory computer program product comprising machine -readable instructions configured to cause a processor to cause performance of a method described herein.

BRIEF DESCRIPTION OF THE DRAWINGS

[0011] Embodiments will now be described, by way of example only, with reference to the accompanying drawings in which:

[0012] Figure 1 schematically depicts an embodiment of a lithographic apparatus;

[0013] Figure 2 schematically depicts an embodiment of a lithographic cell or cluster;

[0014] Figure 3 schematically depicts an embodiment of a scatterometer for use as a metrology device; [0015] Figure 4 schematically depicts another embodiment of a scatterometer for use as a metrology device;

[0016] Figure 5 depicts a composite metrology target formed on a substrate;

[0017] Figure 6 shows a correlation between measured wafer quality and p DBO (micro diffraction based overlay) measurements;

[0018] Figure 7 illustrates a workflow in accordance with an embodiment; and

[0019] Figure 8 illustrates a workflow in accordance with an embodiment.

DETAILED DESCRIPTION

[0020] Before describing embodiments in detail, it is instructive to present an example environment in which embodiments may be implemented.

[0021] Figure 1 schematically depicts a lithographic apparatus LA. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. DUV radiation or EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g. a wafer table) WTa constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.

[0022] The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.

[0023] The patterning device support structure holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The patterning device support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The patterning device support structure may be a frame or a table, for example, which may be fixed or movable as required. The patterning device support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”

[0024] The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.

[0025] The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phaseshift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.

[0026] The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.

[0027] As here depicted, the apparatus is of a transmissive type (e.g., employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array of a type as referred to above, or employing a reflective mask).

[0028] The lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate table, two or more patterning device support structures, or a substrate table and metrology table). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.

[0029] The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.

[0030] Referring to Figure 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD including, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.

[0031] The illuminator IL may include an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as o-outcr and o-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.

[0032] The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the patterning device support (e.g., mask table MT), and is patterned by the patterning device. Having traversed the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, 2- D encoder or capacitive sensor), the substrate table WTa can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B, e.g., after mechanical retrieval from a mask library, or during a scan. In general, movement of the patterning device support (e.g., mask table) MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WTa may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the patterning device support (e.g., mask table) MT may be connected to a short-stroke actuator only, or may be fixed.

[0033] Patterning device (e.g., mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device (e.g., mask) MA, the mask alignment marks may be located between the dies. Small alignment markers may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features. The alignment system, which detects the alignment markers is described further below.

[0034] The depicted apparatus could be used in at least one of the following modes:

In step mode, the patterning device support (e.g., mask table) MT and the substrate table WTa are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e., a single static exposure). The substrate table WTa is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.

In scan mode, the patterning device support (e.g., mask table) MT and the substrate table WTa are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure). The velocity and direction of the substrate table WTa relative to the patterning device support (e.g., mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.

In another mode, the patterning device support (e.g., mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WTa is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WTa or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.

[0035] Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.

[0036] Lithographic apparatus LA is of a so-called dual stage type which has two tables WTa, WTb (e.g., two substrate tables) and two stations - an exposure station and a measurement station - between which the tables can be exchanged. For example, while a substrate on one table is being exposed at the exposure station, another substrate can be loaded onto the other substrate table at the measurement station and various preparatory steps carried out. The preparatory steps may include mapping the surface control of the substrate using a level sensor LS and measuring the position of alignment markers on the substrate using an alignment sensor AS, both sensors being supported by a reference frame RF. If the position sensor IF is not capable of measuring the position of a table while it is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the positions of the table to be tracked at both stations. As another example, while a substrate on one table is being exposed at the exposure station, another table without a substrate waits at the measurement station (where optionally measurement activity may occur). This other table has one or more measurement devices and may optionally have other tools (e.g., cleaning apparatus). When the substrate has completed exposure, the table without a substrate moves to the exposure station to perform, e.g., measurements and the table with the substrate moves to a location (e.g., the measurement station) where the substrate is unloaded and another substrate is load. These multi-table arrangements enable a substantial increase in the throughput of the apparatus.

[0037] As shown in Figure 2, the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or lithocluster, which also includes apparatus to perform one or more pre- and post-exposure processes on a substrate. Conventionally these include one or more spin coaters SC to deposit a resist layer, one or more developers DE to develop exposed resist, one or more chill plates CH and one or more bake plates BK. A substrate handler, or robot, RO picks up a substrate from input/output ports 1/01, 1/O2, moves it between the different process devices and delivers it to the loading bay LB of the lithographic apparatus. These devices, which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via lithographic control unit LACU. Thus, the different apparatus may be operated to maximize throughput and processing efficiency.

[0038] In order that the substrate that is exposed by the lithographic apparatus is exposed correctly and consistently, it is desirable to inspect an exposed substrate to measure one or more properties such as overlay error between subsequent layers, line thickness, critical dimension (CD), etc. If an error is detected, an adjustment may be made to an exposure of one or more subsequent substrates. This may particularly useful, for example, if the inspection can be done soon and fast enough that another substrate of the same batch is still to be exposed. Also, an already exposed substrate may be stripped and reworked (to improve yield) or discarded, thereby avoiding performing an exposure on a substrate that is known to be faulty. In a case where only some target portions of a substrate are faulty, a further exposure may be performed only on those target portions which are good. Another possibility is to adapt a setting of a subsequent process step to compensate for the error, e.g., the time of a trim etch step can be adjusted to compensate for substrate-to-substrate CD variation resulting from the lithographic process step.

[0039] In an embodiment, a patterning device MA may be provided with a functional pattern (i.e. a pattern which will form part of an operational device). Alternatively or additionally, the patterning device may be provided with a measurement pattern which does not form part of the functional pattern. The measurement pattern may be, for example, located to one side of the functional pattern. The measurement pattern may be used, for example, to measure alignment of the patterning device relative to the substrate table WT (see FIG. 1) of the lithographic apparatus, or may be used to measure some other parameter (e.g., overlay). The techniques described herein may be applied to such a measurement pattern.

[0040] In accordance with various embodiments of the invention, wafer features and lithographic apparatus attributes, either measured or simulated, may be used to update a design for the reticle to improve performance. In one example, locations of metrology targets (measurement patterns) may be located in accordance with measured and/or simulated features of the wafer such that the effects of the wafer features and apparatus attributes are reduced. Alternately, similar features of the wafer and/or lithographic system may be used to update positions and/or orientations for the functional patterns.

[0041] By way of introduction, the operation of an inspection apparatus making use of metrology targets is described. An inspection apparatus is used to determine one or more properties of a substrate, and in particular, how one or more properties of different substrates or different layers of the same substrate vary from layer to layer and/or across a substrate. The inspection apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a stand-alone device. To enable most rapid measurements, it is desirable that the inspection apparatus measure one or more properties in the exposed resist layer immediately after the exposure. However, the latent image in the resist has a very low contrast - there is only a very small difference in refractive index between the part of the resist which has been exposed to radiation and that which has not - and not all inspection apparatus have sufficient sensitivity to make useful measurements of the latent image. Therefore measurements may be taken after the post-exposure bake step (PEB) which is customarily the first step carried out on an exposed substrate and increases the contrast between exposed and unexposed parts of the resist. At this stage, the image in the resist may be referred to as semi-latent. It is also possible to make measurements of the developed resist image - at which point either the exposed or unexposed parts of the resist have been removed - or after a pattern transfer step such as etching. The latter possibility limits the possibility for rework of a faulty substrate but may still provide useful information, e.g., for the purpose of process control.

[0042] Figure 3 depicts an embodiment of a scatterometer SMI. It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate 6. The reflected radiation is passed to a spectrometer detector 4, which measures a spectrum 10 (i.e., a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile giving rise to the detected spectrum may be reconstructed by processing unit PU, e.g., by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 3. In general, for the reconstruction, the general form of the structure is known and some parameters are assumed from knowledge of the process by which the structure was made, leaving only a few parameters of the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer.

[0043] Another embodiment of a scatterometer SM2 is shown in Figure 4. In this device, the radiation emitted by radiation source 2 is focused using lens system 12 through interference filter 13 and polarizer 17, reflected by partially reflective surface 16 and is focused onto substrate W via a microscope objective lens 15, which has a high numerical aperture (NA), desirably at least 0.9 or at least 0.95. An immersion scatterometer may even have a lens with a numerical aperture over 1. The reflected radiation then transmits through partially reflective surface 16 into a detector 18 in order to have the scatter spectrum detected. The detector may be located in the back-projected pupil plane 11, which is at the focal length of the lens 15, however the pupil plane may instead be re-imaged with auxiliary optics (not shown) onto the detector 18. The pupil plane is the plane in which the radial position of radiation defines the angle of incidence and the angular position defines the azimuth angle of the radiation. The detector is desirably a two-dimensional detector so that a two-dimensional angular scatter spectrum (i.e., a measurement of intensity as a function of angle of scatter) of the substrate target can be measured. The detector 18 may be, for example, an array of CCD or CMOS sensors, and may have an integration time of, for example, 40 milliseconds per frame.

[0044] A reference beam is often used, for example, to measure the intensity of the incident radiation. To do this, when the radiation beam is incident on the partially reflective surface 16 part of it is transmitted through the surface as a reference beam towards a reference mirror 14. The reference beam is then projected onto a different part of the same detector 18.

[0045] One or more interference filters 13 are available to select a wavelength of interest in the range of, say, 405 - 790 nm or even lower, such as 200 - 300 nm. The interference filter(s) may be tunable rather than comprising a set of different filters. A grating could be used instead of or in addition to one or more interference filters.

[0046] The detector 18 may measure the intensity of scattered radiation at a single wavelength (or narrow wavelength range), the intensity separately at multiple wavelengths or the intensity integrated over a wavelength range. Further, the detector may separately measure the intensity of transverse magnetic- (TM) and transverse electric- (TE) polarized radiation and/or the phase difference between the transverse magnetic- and transverse electric -polarized radiation.

[0047] Using a broadband radiation source 2 (i.e., one with a wide range of radiation frequencies or wavelengths - and therefore of colors) is possible, which gives a large etendue, allowing the mixing of multiple wavelengths. The plurality of wavelengths in the broadband desirably each has a bandwidth of 6/. and a spacing of at least 26/. (i.e., twice the wavelength bandwidth). Several “sources” of radiation may be different portions of an extended radiation source which have been split using, e.g., fiber bundles. In this way, angle resolved scatter spectra may be measured at multiple wavelengths in parallel. A 3-D spectrum (wavelength and two different angles) may be measured, which contains more information than a 2-D spectrum. This allows more information to be measured which increases metrology process robustness. This is described in more detail in U.S. Patent Application Publication No. US 2006-0066855, which document is hereby incorporated in its entirety by reference.

[0048] By comparing one or more properties of the beam before and after it has been redirected by the target, one or more properties of the substrate may be determined. This may be done, for example, by comparing the redirected beam with theoretical redirected beams calculated using a model of the substrate and searching for the model that gives the best fit between measured and calculated redirected beams. Typically a parameterized generic model is used and the parameters of the model, for example width, height and sidewall angle of the pattern, are varied until the best match is obtained.

[0049] Two main types of scatterometer are used. A spectroscopic scatterometer directs a broadband radiation beam onto the substrate and measures the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range. An angularly resolved scatterometer uses a monochromatic radiation beam and measures the intensity (or intensity ratio and phase difference in case of an ellipsometric configuration) of the scattered radiation as a function of angle. Alternatively, measurement signals of different wavelengths may be measured separately and combined at an analysis stage. Polarized radiation may be used to generate more than one spectrum from the same substrate.

[0050] In order to determine one or more parameters of the substrate, a best match is typically found between the theoretical spectrum produced from a model of the substrate and the measured spectrum produced by the redirected beam as a function of either wavelength (spectroscopic scatterometer) or angle (angularly resolved scatterometer). To find the best match there are various methods, which may be combined. For example, a first method is an iterative search method, where a first set of model parameters is used to calculate a first spectrum, a comparison being made with the measured spectrum. Then a second set of model parameters is selected, a second spectrum is calculated and a comparison of the second spectrum is made with the measured spectrum. These steps are repeated with the goal of finding the set of parameters that gives the best matching spectrum. Typically, the information from the comparison is used to steer the selection of the subsequent set of parameters. This process is known as an iterative search technique. The model with the set of parameters that gives the best match is considered to be the best description of the measured substrate.

[0051] A second method is to make a library of spectra, each spectrum corresponding to a specific set of model parameters. Typically the sets of model parameters are chosen to cover all or almost all possible variations of substrate properties. The measured spectrum is compared to the spectra in the library. Similarly to the iterative search method, the model with the set of parameters corresponding to the spectrum that gives the best match is considered to be the best description of the measured substrate. Interpolation techniques may be used to determine more accurately the best set of parameters in this library search technique.

[0052] In any method, sufficient data points (wavelengths and/or angles) in the calculated spectrum should be used in order to enable an accurate match, typically between 80 up to 800 data points or more for each spectrum. Using an iterative method, each iteration for each parameter value would involve calculation at 80 or more data points. This is multiplied by the number of iterations needed to obtain the correct profile parameters. Thus many calculations may be required. In practice this leads to a compromise between accuracy and speed of processing. In the library approach, there is a similar compromise between accuracy and the time required to set up the library.

[0053] In any of the scatterometers described above, the target on substrate W may be a grating which is printed such that after development, the bars are formed of solid resist lines. The bars may alternatively be etched into the substrate. The target pattern is chosen to be sensitive to a parameter of interest, such as focus, dose, overlay, chromatic aberration in the lithographic projection apparatus, etc., such that variation in the relevant parameter will manifest as variation in the printed target. For example, the target pattern may be sensitive to chromatic aberration in the lithographic projection apparatus, particularly the projection system PL, and illumination symmetry and the presence of such aberration will manifest itself in a variation in the printed target pattern. Accordingly, the scatterometry data of the printed target pattern is used to reconstruct the target pattern. The parameters of the target pattern, such as line width and shape, may be input to the reconstruction process, performed by a processing unit PU, from knowledge of the printing step and/or other scatterometry processes. Lines in targets may be made up of sub-units, including near or sub-resolution features that together define lines of the gratings, such as are described in US Pat. No. 7,466,413.

[0054] While embodiments of a scatterometer have been described herein, other types of metrology apparatus may be used in an embodiment. For example, a dark field metrology apparatus such as described in U.S. Pat. No. 8,797,554, which is incorporated herein in its entirety by reference, may be used. Further, those other types of metrology apparatus may use a completely different technique than scatterometry.

[0055] Targets as described herein may be, for example, overlay targets designed for use in the Yieldstar stand-alone or integrated metrology tools, and/or alignment targets such as those typically used with TwinScan lithographic systems, both available from ASML of Veldhoven, NL.

[0056] In general, metrology targets for use with such systems should be printed on the wafer with dimensions that meet the design specification for the particular microelectronic device to be imaged on that wafer. As processes continue to push against the limits of lithographic device imaging resolution in advanced process nodes, the design rule and process compatibility requirement place stress on the selection of appropriate targets. As the targets themselves become more advanced, often requiring the use of resolution enhancement technology, such as phase-shift masks, and optical proximity correction, the printability of the target within the process design rules becomes less certain. As a result, proposed marks may be subject to testing in order to confirm their viability, both from a printability and a detectability standpoint. In a commercial environment, good overlay mark detectability may be considered to be a combination of low total measurement uncertainty as well as a short move-acquire-move time, as slow acquisition is detrimental to total throughput for the production line. Modern micro-diffraction-based-overlay targets (pDBO) may be on the order of 10 pm on a side, which provides an inherently lower detection signal compared to 40x160 pm 2 targets such as those used in the context of monitor wafers.

[0057] Additionally, once marks that meet the above criteria have been selected, there is a possibility that detectability will change with respect to process variations, such as film thickness variation, various etch biases, and geometry asymmetries induced by the etch and/or polish processes. Therefore, it may be useful to select a target that has low detectability variation and low overlay/alignment variation against various process variations. Likewise, the fingerprint (printing characteristics, including, for example, lens aberration) of the specific machine that is to be used to produce the microelectronic device to be imaged will, in general, affect the imaging and production of the target marks. It may therefore be useful to ensure that the marks are resistant to fingerprint effects, as some patterns will be more or less affected by a particular lithographic fingerprint.

[0058] Figure 5 depicts a composite metrology target formed on a substrate according to known practice. The composite target comprises four gratings 32, 33, 34, 35 positioned closely together so that they will all be within a measurement spot 31 formed by the illumination beam of the metrology apparatus. The four targets thus are all simultaneously illuminated and simultaneously imaged on sensor 4, 18. In an example dedicated to overlay measurement, gratings 32, 33, 34, 35 are themselves composite gratings formed by overlying gratings that are patterned in different layers of the semiconductor device formed on substrate W. Gratings 32, 33, 34, 35 may have differently biased overlay offsets in order to facilitate measurement of overlay between the layers in which the different parts of the composite gratings are formed. Gratings 32, 33, 34, 35 may also differ in their orientation, as shown, so as to diffract incoming radiation in X and Y directions. In one example, gratings 32 and 34 are X-direction gratings with biases of +d, -d, respectively. This means that grating 32 has its overlying components arranged so that if they were both printed exactly at their nominal locations, one of the components would be offset relative to the other by a distance d. Grating 34 has its components arranged so that if perfectly printed there should be an offset of d, but in the opposite direction to the first grating and so on. Gratings 33 and 35 may be Y-direction gratings with offsets +d and -d respectively. While four gratings are illustrated, another embodiment may include a larger matrix to obtain desired accuracy. For example, a 3 x 3 array of nine composite gratings may have biases -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d. Separate images of these gratings can be identified in the image captured by sensor 4, 18. [0059] An example of an alignment sensor is a transmission image sensor, used to determine the positions of a mask and a substrate relative to each other. The mask comprises a mask mark. An image of the mask mark is formed by the projection system onto the Transmission Image Sensor (TIS) placed in a substrate table, the image formed using a radiation beam. The Transmission Image Sensor (TIS) comprises a grating structure with transmissive and reflective (or absorbing) elements (for instance a transmissive pattern in a layer of chromium). When the image is in focus at, and aligned with the grating structure, the transmissive elements correspond to the image. A detector (such a photodiode) is positioned behind the grating structure, said detector being constructed to measure the intensity of the radiation behind the grating structure.

[0060] If the image is in focus at, and aligned with the structure, all radiation passes through the structure, resulting in a maximal intensity at the detector. If the image is not in focus at the grating structure or is misaligned with the structure, part of the radiation falls onto the reflective (or absorbing) elements and the intensity measured by the detector behind the structure will be lower. [0061] At several relative positions between the mask and the substrate stage intensities of radiation that has passed the mask mark and the grating are measured by the detector to find that position where the measured intensity is maximal. This relative position corresponds with the mask mark being in focus at and aligned with the structure of the Transmission Image Sensor (TIS). Alternative embodiments may be possible. For instance a mark may be provided on the mask table or on a fiducial part on the mask table in order to align the mask table to the substrate table. Also several marks may be present on the mask or on the mask table or several fiducial parts may be present on the mask table to qualify the projection system. This may for instance be done by determining the magnification of the projection system by measuring the positions of the images of several mask marks on the mask one by one using the grating structure and the detector and comparing the distance between the measured positions with the distance of the several marks on the mask. Also several grating structures may be present on the substrate table to determine rotation of the mask relative to the substrate table.

[0062] Another type of alignment sensor that may be used is diffraction alignment sensor that measures a position of an alignment mark. During alignment the alignment mark is illuminated with an alignment beam of radiation. The alignment beam of radiation is diffracted by the alignment mark into several diffraction orders such as +1,-1, +2 and -2. Using optical elements, each set of corresponding diffraction orders (say +1 and -1) is used to form an image of the alignment mark onto a reference plate. The reference plate comprises reference gratings for each set of corresponding diffraction orders to be measured. Behind each reference grating a separate detector is arranged to measure the intensity of the radiation in the image passing through the reference gratings. By moving the alignment mark relative to the reference plate, the position with the highest intensity for one or more images is found, which gives the aligned position. [0063] Radiation in the "zeroth diffraction order" (e.g., radiation that is scattered from the edges of the spot mirror), from surface roughness (random scattering), from target edges, etc. which contains no signal information regarding the parameter being measured) which reaches the detector, limits the dynamic range of the sensor. The wafer quality (WQ) will vary as a result of the presence of these structures and can be significantly reduced as a result. Wafer quality is a measure (ratio) of the actual alignment signal strength with reference to a signal generated by a fiducial mark.

[0064] To enhance performance, the intensity of several images can be measured and the alignment beam of radiation can consist of multiple colors.

[0065] Another type of alignment sensor is a self-referencing sensor, which is for instance explained in detail in European patent EP 1 372 040, which is hereby incorporated by reference in its entirety.

[0066] As the number of layers on a wafer increases, there may be an accumulation of material thickness variations across the wafer surface. That is, for some portions or locations of the wafer, there may be portions that have one or more layers that are thicker than in other locations. This may result from a single layer having differing thicknesses across the die, or several layers, each having varying thickness across the die, stacked one on another. The changes in thickness can be sufficiently large that the ability of the system to focus on a surface is affected. A thicker portion may be defocused in a negative z-direction, while a thinner portion may be defocused in a positive z- direction.

[0067] In general, the wafer quality is reduced by the presence of multiple layers of structures on the wafer. The alignment marks are, in general, on the base layer of the wafer, and thus are partially or completely covered by subsequent layers as they are deposited. Thus, there may be interference caused by the interaction of the alignment light passing through the layers and being partially reflected at interfaces, due to changes in refractive index between layers, for example. Likewise, surface roughness, material type, and other considerations may affect the strength of signal obtained by the alignment system.

[0068] In some applications, the relevant alignment marks may be on a layer above the base layer, and indeed a top-most set of alignment marks may be placed on any of the several layers on a wafer.

[0069] The inventors have determined that monitoring wafer quality can provide information regarding film thickness over the surface of the wafer. In particular, a correlation can be determined between signal strength and/or diffraction efficiency and the thickness of the structure on the wafer, and this correlation can then be used to correct focus for subsequent layer imaging. While wafer quality or signal strength constitutes one key performance indicator that can be used to characterize the response of the alignment system measurements, in principle other key performance indicators may be used. [0070] In a method in accordance with an embodiment, raw focus data is collected for a wafer having multiple layers disposed thereon, using, for example, pDBO measurements over multiple points per wafer. In a typical application, there may be dozens (for example, 40) points measured per wafer, an each point may be measured using one or more alignment wavelengths, and one or more alignment light polarizations. For a given alignment wavelength and polarization, it is possible then to fit a function correlating the pDBO measurements and the wafer quality as shown in Figure 6. The function may be, for example, a 2D first order polynomial function as shown, but higher order functions could be used as appropriate.

[0071] In embodiments, multiple wavelengths and/or polarizations may be used in combination. For example, edge portions of the wafer may be measured with different alignment system wavelength from central portions of the wafer.

[0072] pDBO data can be mapped vs position on the surface of the wafer. Using the correlation determined previously, the wafer quality data can be used to correct for focus, and an improved uniformity of performance can be produced.

[0073] In producing the wafer quality data, it can be useful to include a step where intrafield height data is accounted for. Over the surface of a wafer, several substantially identical dies will typically be produced during the manufacturing process. Each die may have some amount of height variation within it, but this variation should be repeated uniformly from die to die. Thus, for each imaging field, there is a surface height variation, but this variation is consistent across fields.

[0074] As illustrated in Figure 7, raw focus data 102 is collected using pDBO measurements using system 100. Intrafield data 103 is then removed from the raw data to produce smoothed interfield data 104. The smoothed interfield data is then used to modify the focus prediction map for use in imaging a subsequent layer. That is, the ordinary leveling and wafer quality data 106 that would be used to generate a focus recipe for the subsequent layer is modified by the addition of the information obtained with the smoothed interfield data, and a delta focus prediction 108 is generated. [0075] Figure 8 illustrates the production process incorporating focus correction in accordance with an embodiment. A photolithography system 110 obtains leveling and wafer quality information as in conventional imaging processes. Focus mapping as illustrated above is applied to produce the delta focus prediction 108. The delta focus prediction 108 may then be used as a correction to the imaging process for the subsequent layer, and the system produces a focus corrected wafer 112. In an embodiment, the delta focus prediction may be made based solely on the wafer quality data as described above, or it may be made in combination with additionally measured level sensor data.

[0076] In an embodiment, the delta focus map may be generated more directly. In this approach, a leveling map for a first, lower layer, which may for example be a bottom-most layer, is generated or obtained. In principle, this layer may be any layer that has layers stacked over it, and need not strictly be the bottom-most layer. In general, the layer that is top-most in the stack and that also contains alignment marks is the one that would be used to generate the baseline height map. In a typical application, once the lower level has been covered over, it may be difficult to obtain a measurement of that layer, but if a height map has been generated at a prior step, it may be stored or otherwise made available for use at a later stage. This baseline measurement may be made using a level sensor, for example, but may be generated using any height mapping sensor including an air gauge. A leveling map for a second, upper layer, which may for example be the top-most layer, is likewise generated. In general, the second layer may be any layer that overlies, directly or indirectly, the first layer. The top-most layer is generally the layer that is most readily measured, but in principle other layers could be used as the second layer. The difference between the maps is the delta focus map that can be used in the same feed-forward manner as one generated by the previously described method.

[0077] Contrast as discussed herein includes, for an aerial image, image log slope (ILS) and/or normalized image log slope (NILS) and, for resist, dose sensitivity and/or exposure latitude.

[0078] The terms “optimize”, “optimizing” and “optimization” as used herein mean adjusting a lithographic process parameter such that results and/or processes of lithography have a more desirable characteristic, such as higher accuracy of projection of a design layout on a substrate, a larger process window, etc.

[0079] An embodiment of the invention may take the form of a computer program containing one or more sequences of machine -readable instructions describing a method as disclosed herein, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. Further, the machine readable instruction may be embodied in two or more computer programs. The two or more computer programs may be stored on one or more different memories and/or data storage media.

[0080] This computer program may be included, for example, with or within the imaging apparatus of Figure 1 and/or with or within the control unit LACU of Figure 2. Where an existing apparatus, for example of the type shown in Figures 1 and 2, is already in production and/or in use, an embodiment can be implemented by the provision of updated computer program products for causing a processor of the apparatus to perform a method as described herein.

[0081] Any controllers described herein may each or in combination be operable when the one or more computer programs are read by one or more computer processors located within at least one component of the lithographic apparatus. The controllers may each or in combination have any suitable configuration for receiving, processing, and sending signals. One or more processors are configured to communicate with the at least one of the controllers. For example, each controller may include one or more processors for executing the computer programs that include machine-readable instructions for the methods described above. The controllers may include data storage medium for storing such computer programs, and/or hardware to receive such medium. So the controlled s) may operate according the machine readable instructions of one or more computer programs. [0082] Although specific reference may have been made above to the use of embodiments in the context of lithography using radiation, it will be appreciated that an embodiment of the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to lithography using radiation. In imprint lithography, a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.

[0083] Further, although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

[0084] The patterning device described herein may be referred to as a lithographic patterning device. Thus, the term “lithographic patterning device” may be interpreted as meaning a patterning device which is suitable for use in a lithographic apparatus.

[0085] The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.

[0086] The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.

[0087] The embodiment(s) described, and references in the specification to an “embodiment”, “example,” etc., indicate that the embodiment(s) described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is understood that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

[0088] The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. For example, one or more aspects of one or more embodiments may be combined with or substituted for one or more aspects of one or more other embodiments as appropriate. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description by example, and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance. The breadth and scope of the invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents. Other aspects of the invention are set out as in the following numbered clauses:

1. A method of compensating for focus deviations on a substrate having a plurality of layers present thereon comprises: obtaining key performance indicator data for each of a plurality of alignment marks on the substrate using an alignment sensor of a photolithographic apparatus; determining a correlation between the key performance indicator data and focus offset data for positions on the substrate; and using the correlation and the key performance indicator data, generating a focus prediction map for the substrate.

2. A method as in clause 1, wherein the positions on the substrate correspond to positions of the plurality of alignment marks.

3. A method as in clause 1, wherein the positions on the substrate correspond to positions defined by a focus model for the substrate.

4. A method as in clause 1, wherein the key performance indicator data are signal strength data.

5. A method as in clause 1, wherein the correlation is process dependent and layer dependent.

6. A method as in clause 1, wherein the correlation is expressed as a mathematical function.

7. A method as in clause 6, wherein the correlation is expressed as a first order 2D polynomial function.

8. A method as in clause 1, further comprising: prior to the determining a correlation, removing at least a portion of intrafield focus data from the key performance indicator data to produce smoothed interfield focus data, wherein the using the correlation and the key performance indicator data comprises using the smoothed interfield focus data.

9. A method as in clause 1, wherein the key performance indicator data is obtained using a plurality of wavelengths of alignment sensor radiation for each of the plurality of alignment marks.

10. A method as in clause 1, wherein the generating further comprises using level sensor data measured from the substrate.

11. A method as in clause 1, further comprising, using the focus prediction map to adjust focus for an imaging process of a subsequent layer of the substrate.

12. A method of compensating for focus deviations on a substrate having a plurality of layers present thereon comprises: obtaining a first layer height map for a first layer of the plurality of layers; measuring a second layer height map for a second layer of the plurality of layers, wherein the second layer overlies the first layer, using a level sensor; subtracting the first layer height map from the second layer height map to obtain a delta height map for the substrate; and using the delta height map to generate a focus prediction map for the substrate.

13. A method as in clause 12, wherein the obtaining a first layer height map comprises reading stored height map data for the first layer.

14. A method as in clause 12, wherein the first layer height map is obtained using a level sensor.

15. A method as in clause 12, wherein the first layer is a top most layer that includes alignment or overlay metrology marks, and the second layer is a layer that is to be exposed in a subsequent exposure operation.

16. A method as in clause 12, further comprising, using the focus prediction map to adjust focus for an imaging process of a subsequent layer of the substrate.