Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
A METHOD FOR CHARACTERIZING A MANUFACTURING PROCESS OF SEMICONDUCTOR DEVICES
Document Type and Number:
WIPO Patent Application WO/2020/169355
Kind Code:
A1
Abstract:
A method of determining a characteristic of one or more processes for manufacturing features on a substrate is provided in this document, the method including: obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain measured data of one or more dimensions of each of at least some of the plurality of features; determining a statistical parameter that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features; determining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and determining the characteristic of the one or more processes to have the probability of defective manufacture of features and the statistical parameter.

Inventors:
TEL WIM (NL)
DILLEN HERMANUS (NL)
KEA MARC (US)
THUIJS KOEN (NL)
MASLOW MARK (NL)
ENGBLOM PETER (US)
HUIJGEN RALPH (US)
SLOTBOOM DAAN (NL)
MULKENS JOHANNES (NL)
Application Number:
PCT/EP2020/053025
Publication Date:
August 27, 2020
Filing Date:
February 06, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
International Classes:
G03F7/20
Foreign References:
US20170010538A12017-01-12
US201962807854P2019-02-20
US201962865425P2019-06-24
US201962890091P2019-08-22
US202062958928P2020-01-09
US6046792A2000-04-04
US5969441A1999-10-19
US5296891A1994-03-22
US5523193A1996-06-04
US5229872A1993-07-20
US20090157360A12009-06-18
US7587704B22009-09-08
US20050076322A12005-04-07
US20110230999A12011-09-22
US20100315614A12010-12-16
US20130179847A12013-07-11
Other References:
DE BISSCHOP P ET AL: "Stochastic effects in EUV lithography", PROCEEDINGS OF SPIE; [PROCEEDINGS OF SPIE ISSN 0277-786X VOLUME 10524], SPIE, US, vol. 10583, 19 March 2018 (2018-03-19), pages 105831K - 105831K, XP060103185, ISBN: 978-1-5106-1533-5, DOI: 10.1117/12.2300541
CIVAY D ET AL: "Deconstructing contact hole CD printing variability in EUV lithography", PROCEEDINGS OF SPIE/ IS & T,, vol. 9048, 17 April 2014 (2014-04-17), pages 90483D - 90483D, XP060030866, ISBN: 978-1-62841-730-2, DOI: 10.1117/12.2049546
C. SPENCE: "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", PROC. SPIE, vol. 5751, 2005, pages 1 - 14, XP055147049, DOI: 10.1117/12.608020
Y. CAO ET AL.: "Optimized Hardware and Software For Fast, Full Chip Simulation", PROC. SPIE, vol. 5754, 2005, pages 405
ROSENBLUTH ET AL.: "Optimum Mask and Source Patterns to Print a Given Shape", JOURNAL OF MICROLITHOGRAPHY, MICROFABRICATION, MICROSYSTEMS, vol. 1, no. 1, 2002, pages 13 - 20
GRANIK: "Source Optimization for Image Fidelity and Throughput", JOURNAL OF MICROLITHOGRAPHY, MICROFABRICATION, MICROSYSTEMS, vol. 3, no. 4, 2004, pages 509 - 522, XP055147052, DOI: 10.1117/1.1794708
SOCHA, PROC. SPIE, vol. 5853, 2005, pages 180
JORGE NOCEDALSTEPHEN J. WRIGHT: "Numerical Optimization", VANDENBERGHE. CAMBRIDGE UNIVERSITY PRESS
Attorney, Agent or Firm:
ASML NETHERLANDS B.V. (NL)
Download PDF:
Claims:
WHAT IS CLAIMED IS:

1. A method of determining a characteristic of one or more processes for manufacturing features on a substrate, the method comprising:

obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain one or more dimensions of at least some features out of the plurality of features and determine a value of a statistical parameter based on the variation of the one or more dimensions;

obtaining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and

determining the characteristic of the one or more processes by deriving a relation between the probability of defective manufacture of features and the statistical parameter.

2. The method according to claim 1, wherein the image data is obtained from a plurality of regions on the substrate.

3. The method according to claim 2, further comprising:

determining, for each of the plurality of regions, a value of a local statistical parameter that is dependent on the variation of the one or more dimensions of a plurality of features in the region; and determining the value of the statistical parameter in dependence on values of the local statistical parameter.

4. The method according to claim 3, wherein the value of the local statistical parameter of each region is dependent on the local critical dimension uniformity of features in the region.

5. The method according to claim 1, further comprising determining a defect probability relationship that indicates the relationship between determined probabilities of defective manufacture of features and values of respective statistical parameters,

wherein determining the defect probability relationship comprises generating a plurality of characteristics for one or more processes performed in the manufacturing of features on the substrate, wherein each of the plurality characteristics is generated by performing the method under different conditions of the one or more processes.

6. The method according to claim 1, wherein the value of the statistical parameter is generated in dependence on:

a mean value of one or more dimensions of a plurality of features; and

a variation value that is dependent on the variation of one or more dimensions of the plurality of features.

7. The method according to claim 5, or any claim dependent on claim 5, wherein the defect probability relationship is determined by generating a plurality of characteristics at each of a plurality of dose settings of a process and at each of a plurality of focus settings of a process.

8. The method according to claim 1, wherein the determined defective features in the image data comprise missing features when a feature should be present and the merging of at least two features when the at least two features should be separated from each other.

9. The method according to claim 5, further comprising:

determining, for each of a plurality of photoresists, a defect probability relationship and one or more process windows in dependence on the defect probability relationship; and

selecting a photoresist for use in the process of manufacturing features in dependence on the determined one or more process windows.

10. A method for determining a desired processing condition, the method comprising:

obtaining a plurality of distributions of values of a performance parameter, each distribution of the values of the performance parameter is associated with a different processing condition;

deriving for each distribution of values of the performance parameter an indicator of a probability of the performance parameter being within a specified range to obtain a plurality of probability indicator values, each probability indicator value associated with a different processing condition; and

determining the desired processing condition based on a relation between the value of the probability indicator and the processing condition.

11. The method of claim 10, wherein the performance parameter is one or more selected from: critical dimension, edge placement error, overlay (error), local critical dimension uniformity, line edge roughness, line width roughness, image contrast (normalized image log slope or image log slope) or yield of the process.

12. The method of claim 10, wherein the different processing conditions are selections from a vector or matrix of values of one or more process parameters, such as focus and/or dose.

13. The method of claim 10, wherein the probability indicator is a percentage of measured or simulated samples comprised within a distribution of values of the performance parameter which meet a pre -defined criterion, such as a specified range of the performance parameter.

14. The method of claim 10, wherein the desired processing condition is selected based on a processing condition associated with one or more values of one or more process parameters for which the probability indicator is close to, or equals, a minimum required probability value.

15. A method of determining a probability of failure of one or more semiconductor devices provided to a substrate, the method comprising: obtaining a relation between i) the value of a probability indicator associated with a probability of a feature on the substrate having a dimension and/or position within a certain range and ii) a processing condition of the substrate;

obtaining substrate specific values of one or more parameters associated with the processing condition across at least part of the substrate; and combining the relation and the substrate specific values to determine the probability of failure across at least part of the substrate.

16. The method of claim 15, further comprising determining a yield metric representative for a fraction or number of yielding dies on the at least part of the substrate based on the probability of failure across at least part of the substrate and a selected threshold of the probability of failure.

17. The method of claim 16, further comprising determining an improved processing condition based on an expected improvement of the yield metric.

18. A non-transitory computer-readable medium comprising instructions that, when executed, are configured to cause the manufacturing process of a device on a substrate to be controlled according to a method according to claim 1.

Description:
A METHOD FOR CHARACTERIZING A MANUFACTURING PROCESS OF

SEMICONDUCTOR DEVICES

TECHNICAL FIELD

[0001] This application claims priority of US application 62/807,854 which was filed on February 20, 2019; US application 62/865,425 which was filed on June 24, 2019 ; US application 62/890,091 which was filed on August 22, 2019; and US application 62/958,928 which was filed on January 09, 2020 which are incorporated herein in its entirety by reference.

TECHNICAL FIELD

[0002] The description herein relates to the manufacture, testing, measurement and other processes that may be performed on semiconductor structures that are manufactured on a substrate and, more particularly, a method, non-transitory computer-readable medium and system for improving any of the processes in dependence on images of features of the structures

BACKGROUND

[0003] A lithographic projection apparatus can be used, for example, in the manufacture of devices, such as integrated circuits (ICs). In such a case, a patterning device (e.g., a mask) may contain or provide a pattern corresponding to an individual layer of the device (“design layout”), and this pattern can be transferred onto a target portion (e.g. comprising one or more dies) on a substrate (e.g., silicon wafer) that has been coated with a layer of radiation-sensitive material (“resist”), by methods such as irradiating the target portion through the pattern on the patterning device. In general, a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time. In one type of lithographic projection apparatuses, the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus is commonly referred to as a stepper. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, a projection beam scans over the patterning device in a given reference direction (the

"scanning" direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a magnification factor M (generally < 1), the speed F at which the substrate is moved will be a factor M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices as described herein can be gleaned, for example, from US 6,046,792, incorporated herein by reference.

[0004] Prior to transferring the device pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred device pattern. This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC. The substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole procedure, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.

[0005] As noted, lithography is a central step in the manufacturing of ICs, where patterns formed on substrates define functional elements of the ICs, such as microprocessors, memory chips etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices.

[0006] As semiconductor manufacturing processes continue to advance, the dimensions of functional elements have continually been reduced while the amount of functional elements, such as transistors, per device has been steadily increasing over decades, following a trend commonly referred to as“Moore’s law”. At the current state of technology, layers of devices are manufactured using lithographic projection apparatuses that project a design layout onto a substrate using illumination from a deep-ultraviolet illumination source, creating individual functional elements having dimensions well below 100 nm, i.e. less than half the wavelength of the radiation from the illumination source (e.g., a 193 nm illumination source).

[0007] This process in which features with dimensions smaller than the classical resolution limit of a lithographic projection apparatus are printed, is commonly known as low-ki lithography, according to the resolution formula CD = kixk/NA, where l is the wavelength of radiation employed (currently in most cases 248nm or 193nm), NA is the numerical aperture of projection optics in the lithographic projection apparatus, CD is the“critical dimension” - generally the smallest feature size printed - and ki is an empirical resolution factor.

BRIEF SUMMARY

[0008] In general, the smaller kl the more difficult it becomes to reproduce a pattern to a resist layer on the substrate without defects. Defects may be the absence of features planned by a designer to be present within the device, generally referred to as missing features. Defects may be the merging of features; there is a risk that features may merge when their distance becomes too close. For example neighboring contact holes that locally are significantly over dimensioned may cause the wall of resist between them to collapse; the contact holes effectively merge into a large contact hole. Also features with significant dimensional error(s) may be considered defects.

[0009] According to an aspect, there is provided a method of determining a characteristic of one or more processes for manufacturing features on a substrate, the method comprising: obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain measured data of one or more dimensions of each of at least some of the plurality of features; determining an overall statistical parameter that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features; determining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and determining the characteristic of the one or more processes to comprise the probability of defective manufacture of features and the overall statistical parameter.

[0010] According to another aspect, there is provided a method for determining a desired processing condition, the method comprising: obtaining a plurality of distributions of values of a performance parameter, each distribution of the values of the performance parameter is associated with a different processing condition; deriving for each distribution of values of the performance parameter an indicator of a probability of the performance parameter being within a specified range to obtain a plurality of probability indicator values, each probability indicator value associated with a different processing condition; and determining the desired processing condition based on a relation between the value of the probability indicator and the processing condition.

[0011] According to an aspect a method is provided for determining a probability of failure of one or more semiconductor devices provided to a substrate, the method comprising: obtaining a relation between i) the value of a probability indicator associated with a probability of a feature on the substrate having a dimension and/or position within a certain range and ii) a processing condition of the substrate; obtaining substrate specific values of one or more parameters associated with the processing condition across at least part of the substrate; and combining the relation and the substrate specific values to determine the probability of failure across at least part of the substrate.

[0012] In an embodiment, the image data is obtained from a plurality of regions on the substrate.

[0013] In an embodiment, the method further comprises: determining, for each of the plurality of regions, a local statistical parameter that is dependent on the variation of the measured data of one or more dimensions of a plurality of features in the region; and determining the overall statistical parameter in dependence on a plurality of the local statistical parameters.

[0014] In an embodiment, the local statistical parameter of each region is dependent on the local critical dimension uniformity of features in the region. [0015] In an embodiment, the method further comprises determining a defect probability relationship that indicates the relationship between determined probabilities of defective manufacture of features and respective overall statistical parameters; wherein determining the defect probability relationship comprises generating a plurality of characteristics for one or more processes performed in the manufacturing of features on the substrate, wherein each of the characteristics is generated by performing the previously described method under different conditions of the one or more processes.

[0016] In an embodiment, each overall statistical parameter is generated in dependence on: a mean value of one or more dimensions of a plurality of features; and a variation value that is dependent on the variation of one or more dimensions of the plurality of features.

[0017] In an embodiment, the variation value is the standard deviation of one or more dimensions of the plurality of features.

[0018] In an embodiment, each overall statistical parameter is generated in dependence on either: the difference between the mean value and a multiple of the variation value; or the sum of the mean value and the multiple of the variation value.

[0019] In an embodiment, the multiple of the variation value is three.

[0020] In an embodiment, each overall statistical parameter is generated in dependence on the difference between the mean value and a multiple of the variation value when the mean value is below a threshold value; and each overall statistical parameter is generated in dependence on the sum of the mean value and the multiple of the variation value when the mean value is at or above the threshold value.

[0021] In an embodiment, the threshold value is determined as the threshold value for which a first cumulative probability value and a second cumulative probability value are the same; wherein: the first cumulative probability value is the cumulative probability of the occurrence of defects for all mean values of a plurality of statistical parameters that are below the threshold value; and the second cumulative probability value is the cumulative probability of the occurrence of defects for all mean values of a plurality of statistical parameters that are at or above the threshold value.

[0022] In an embodiment, the method further comprises determining one or more formulae for describing each of the tails of the defect probability relationship.

[0023] In an embodiment, the method further comprises using the one or more formulae to estimate a minimum achievable defect probability; determining a value of the overall statistical parameter that corresponds to the estimated minimum achievable defect probability; and determining one or more process windows for the one or more processes in dependence on the determined value of the overall statistical parameter.

[0024] In an embodiment, the method further comprises using the one or more formulae to determine a range of values of the overall statistical parameter over which the defect probability is at, or below, a user determined level; and determining one or more process windows for the one or more processes in dependence on the determined range of values of the overall statistical parameter.

[0025] In an embodiment, the method further comprises using one or more formulae for describing only one of the tails of the defect probability relationship to determine a value of the overall statistical parameter at and either above or below which the defect probability is at, and either above or below, a user determined level; and determining one or more process windows for the one or more processes in dependence on the determined values of the overall statistical parameter.

[0026] In an embodiment, determining a process window of a process comprises determining a process setting in dependence on a known, or estimated, relationship between the process setting of each process and the value of the overall statistical parameter.

[0027] In an embodiment, the process settings comprise one or more selected from: a focus setting, a dose setting, an etch tool setting, a laser bandwidth setting, an optical aberration setting, a dynamic parameter setting of a lithographic apparatus, a deposition tool setting and/or a resist development setting.

[0028] In an embodiment, the defect probability relationship is determined by generating a plurality of characteristics at each of a plurality of process settings of a dose process and at each of a plurality of process settings of a focus process.

[0029] In an embodiment, the measured data is obtained by an after development inspection of features formed with a resist applied to the substrate.

[0030] In an embodiment, the measured data is obtained by an after etch inspection of features formed within a layer applied to the substrate.

[0031] In an embodiment, the determined defective features in the image data comprise missing features when a feature should be present and the merging of at least two features when the at least two features should be separated from each other.

[0032] In an embodiment, the measured data comprises data of features comprised within two or more layers on the substrate; and the determined defective features in the image data comprises too large an error in the relative positioning of features comprised by different layers.

[0033] In an embodiment, the method further comprises determining, for each of a plurality of photoresists, a defect probability relationship and one or more process windows in dependence on the defect probability relationship; and selecting a photoresist for use in the process of manufacturing features in dependence on the determined one or more process windows.

[0034] According to an aspect, there is provided a system configured to perform a method as described herein.

[0035] In an embodiment, the system comprises a computing system and an electron beam apparatus, wherein: the electron beam apparatus is arranged to obtain images of a substrate; and the computing system is arranged to receive the obtained images of a substrate and perform a method as described herein.

[0036] In an embodiment, the system comprises a lithographic apparatus and/or a metrology apparatus.

[0037] According to an aspect, there is provided a non-transitory computer-readable medium comprising instructions that, when executed, cause the manufacturing process of a device on a substrate to be controlled according to a method as described herein.

[0038] According to an embodiment a method for determining a desired processing condition, is provided, the method comprising: obtaining a plurality of distributions of values of a performance parameter, each distribution of the values of the performance parameter is associated with a different processing condition; deriving for each distribution of values of the performance parameter an indicator of a probability of the performance parameter being within a specified range to obtain a plurality of probability indicator values, each probability indicator value associated with a different processing condition; and determining the desired processing condition based on a relation between the value of the probability indicator and the processing condition.

BRIEF DESCRIPTION OF THE DRAWINGS

[0039] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which:

[0040] Fig. 1 is a block diagram of various subsystems of a lithography system.

[0041] Fig. 2 is a block diagram of simulation models corresponding to subsystems in Fig. 1.

[0042] Fig. 3A schematically depicts line edge roughness (FER).

[0043] Fig. 3B schematically depicts line width roughness (FWR).

[0044] Fig. 3C schematically illustrates how a stochastic variation may affect lithography.

[0045] Fig. 4A and Fig. 4B schematically show a method of determining a relationship between a stochastic variation of a characteristic of an aerial image or a resist image and one or more design variables.

[0046] Fig. 5A and Fig. 5B show the result of fitting using the relationship.

[0047] Fig. 6 shows an exemplary flow chart for calculating and illustrating the stochastic variation.

[0048] Fig. 7 shows hotspots identified using the stochastic variation.

[0049] Fig. 8 shows a non-transitory computer-readable medium containing values of a stochastic variation at a plurality of conditions and at a plurality of values of the design variables.

[0050] Fig. 9A and Fig. 9B each shows intensity of an image (aerial or resist) across an edge of a pattern in a direction (x) perpendicular to that edge. [0051] Fig. 10 schematically shows curves of an EPEIL S term.

[0052] Fig. 11 is a flow diagram illustrating aspects of an example methodology of joint optimization / co-optimization.

[0053] Fig. 12 shows an example of a further optimization method.

[0054] Fig. 13A, Fig. 13B and Fig. 14 show example flowcharts of various optimization processes.

[0055] Fig. 15A shows a flow chart for a method of identifying a hot spot on the aerial image or resist image based on a stochastic variation (e.g., LER) of a characteristic or on a function thereof (e.g., bl_ILS,

ILS, or NILS).

[0056] Fig. 15B shows a flow chart for a further method of identifying a hot spot on the aerial image or resist image based on a stochastic variation (e.g., LER) of a characteristic (e.g., edge location) of an aerial image or resist image or on a function thereof (e.g., bl_ILS, ILS, or NILS).

[0057] Fig. 16 shows a flow chart for a method of reducing a stochastic variation (e.g., LER) of one or more characteristics (e.g., edge location) of an aerial image or resist image.

[0058] Fig. 17 is a block diagram of an example computer system.

[0059] Fig. 18 is a schematic diagram of a lithographic projection apparatus.

[0060] Fig. 19 is a schematic diagram of another lithographic projection apparatus.

[0061] Fig. 20 is a more detailed view of the apparatus in Fig. 19.

[0062] Fig. 21 is a more detailed view of the source collector module SO of the apparatus of Fig. 19 and Fig. 20.

[0063] Fig. 22 shows several relations of the throughput and a measure of the stochastic variation.

[0064] Fig. 23 schematically illustrates a flow chart of a method that carries out optimization for a set of values of one or more design variables and presents various characteristics of the process, the aerial image, and/or resist image to a user so that the user can select a set of values of the one or more design variables based on the user’s desired characteristic.

[0065] Fig. 24 shows an image of a feature on a substrate.

[0066] Fig. 25 shows a plurality of aligned and stacked images according to an embodiment.

[0067] Fig. 26 is a flowchart of a method according to an embodiment.

[0068] Figs. 27A, 27B, 27C, 27D, 27E and 27F show exemplary types of manufacturing defects that may be detected.

[0069] Fig. 28 show exemplary images of a dense contact hole arrays on parts of a substrate.

[0070] Fig. 29 depicts a relation between an average critical dimension and a defect probability.

[0071] Fig. 30 depicts a relationship between a statistical parameter and a defect probability according to an embodiment.

[0072] Fig. 31 depicts a function of one of the tails of a relationship between a statistical parameter and a defect probability according to an embodiment·

[0073] Fig. 32 depicts functions of both of the tails of a relationship between a statistical parameter and a defect probability according to an embodiment.

[0074] Fig. 33 depicts how process windows may be determined in dependence on statistical parameters according to an embodiment.

[0075] Fig. 34 depicts two separate relationships between statistical parameters and a defect probabilities according to an embodiment.

[0076] Fig 35 is a flowchart of a method according to an embodiment.

[0077] Fig 36 depicts a probability percentage based process window associated with a determined CD vs. dose and focus behavior according to an embodiment.

[0078] Fig. 37 depicts a contour based workpoint determination according to an embodiment.

DETAILED DESCRIPTION

[0079] Although specific reference may be made in this text to the manufacture of ICs, it should be explicitly understood that the description herein has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms "reticle", "wafer" or "die" in this text should be considered as interchangeable with the more general terms "mask", "substrate" and "target portion", respectively.

[0080] In the present document, the terms“radiation” and“beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm).

[0081] The term“optimizing” and“optimization” as used herein refers to or means adjusting a lithographic projection apparatus, a lithographic process, etc. such that results and/or processes of lithography have more desirable one or more characteristics, such as higher accuracy of projection of a design layout on a substrate, a larger process window, etc. Thus, the term“optimizing” and

“optimization” as used herein refers to or means a process that identifies one or more values for one or more parameters that provide an improvement, e.g. a local optimum, in at least one relevant metric, compared to an initial set of one or more values for those one or more parameters. "Optimum" and other related terms should be construed accordingly. In an embodiment, optimization steps can be applied iteratively to provide further improvements in one or more metrics.

[0082] Further, the lithographic projection apparatus may be of a type having two or more tables (e.g., two or more substrate table, a substrate table and a measurement table, two or more patterning device tables, etc.). In such "multiple stage" devices a plurality of the multiple tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures. Twin stage lithographic projection apparatuses are described, for example, in US

5,969,441, incorporated herein by reference.

[0083] The patterning device referred to above comprises, or can form, one or more design layouts. The design layout can be generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way. One or more of the design rule limitations may be referred to as "critical dimensions" (CD). A critical dimension can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes. Thus, the CD determines the overall size and density of the designed device. Of course, one of the goals in device fabrication is to faithfully reproduce the original device design on the substrate (via the patterning device).

[0084] The term“mask” or“patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term“light valve” can also be used in this context. Besides the classic mask (transmissive or reflective; binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include:

- a programmable mirror array. An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. Using an appropriate filter, the undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. The required matrix addressing can be performed using suitable electronic means. More information on such mirror arrays can be gleaned, for example, from U. S. Patent Nos. 5,296,891 and 5,523,193, which are incorporated herein in their entireties by reference.

- a programmable LCD array. An example of such a construction is given in U. S. Patent No. 5,229,872, which is incorporated herein in their entireties by reference.

[0085] As a brief introduction, Fig. 1 illustrates an exemplary lithographic projection apparatus 10A. Major components are a radiation illumination system 12A, which may include a deep-ultraviolet excimer laser source or other type of source including an extreme ultra violet (EUV) source (as discussed above, the lithographic projection apparatus itself need not have the radiation source), illumination optics which define the partial coherence (denoted as sigma) and which may include optics 14 A, 16Aa and 16Ab that shape radiation from the illumination system 12A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto a substrate plane 22A. An adjustable filter or aperture 20A at the pupil plane of the projection optics may restrict the range of beam angles that impinge on the substrate plane 22A, where the largest possible angle defines the numerical aperture of the projection optics NA= n sin(0 max ), n is the index of refraction of the media between the last element of project optics and the substrate.

[0086] In an optimization process of a system, a figure of merit of the system can be represented as a cost function. The optimization process boils down to a process of finding a set of parameters (design variables) of the system that optimizes (e.g., minimizes or maximizes) the cost function. The cost function can have any suitable form depending on the goal of the optimization. For example, the cost function can be weighted root mean square (RMS) of deviations of certain characteristics (evaluation points) of the system with respect to the intended values (e.g., ideal values) of these characteristics; the cost function can also be the maximum of these deviations (i.e., worst deviation). The term“evaluation points” herein should be interpreted broadly to include any characteristics of the system. The design variables of the system can be confined to finite ranges and/or be interdependent due to practicalities of implementations of the system. In the case of a lithographic projection apparatus, the constraints are often associated with physical properties and characteristics of the hardware such as tunable ranges, and/or patterning device manufacturability design rules, and the evaluation points can include physical points on a resist image on a substrate, as well as non-physical characteristics such as dose and focus.

[0087] In a lithographic projection apparatus, an illumination system provides illumination (i.e. radiation) to a patterning device and projection optics direct and shape the illumination, via the patterning device, onto a substrate. The term“projection optics” is broadly defined here to include any optical component that may alter the wavefront of the radiation beam. An aerial image (AI) is the radiation intensity distribution at substrate level. A resist layer on the substrate is exposed and the aerial image is transferred to the resist layer as a latent“resist image” (RI) therein. The resist image (RI) can be defined as a spatial distribution of solubility of the resist in the resist layer. A resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157360, which is incorporated herein its entirety by reference. The resist model is related only to properties of the resist layer (e.g., effects of chemical processes which occur during exposure, PEB and development). One or more optical properties of the lithographic projection apparatus (e.g., one or properties of the illumination system, the patterning device and the projection optics) dictate the aerial image. Since the patterning device used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus including at least the illumination system and the projection optics.

[0088] An exemplary flow chart for simulating lithography in a lithographic projection apparatus is illustrated in Fig. 2. An illumination model 31 represents one or more optical characteristics (including radiation intensity distribution and/or phase distribution) of the illumination system. A projection optics model 32 represents one or more optical characteristics (including change to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics. A design layout model 35 represents one or more optical characteristics (including change to the radiation intensity distribution and/or the phase distribution caused by a given design layout) of a design layout, which is the representation of an arrangement of features on or formed by a patterning device. An aerial image 36 can be simulated from the illumination model 31, the projection optics model 32 and the design layout model 35. A resist image 38 can be simulated from the aerial image 36 using a resist model 37. Simulation of lithography can, for example, predict contours and CDs in the resist image.

[0089] More specifically, it is noted that the illumination model 31 can represent the one or more optical characteristics of the illumination system that include, but not limited to, one or more numerical aperture (NA) settings, one or more sigma (s) settings and/or a particular illumination shape (e.g. off-axis radiation illumination such as annular, quadrupole, dipole, etc.). The projection optics model 32 can represent the one or more optical characteristics of the projection optics, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc. The design layout model 35 can represent one or more physical properties of a physical patterning device, as described, for example, in U.S. Patent No. 7,587,704, which is incorporated herein its entirety by reference. The objective of the simulation is to accurately predict, for example, edge placement, aerial image intensity slope and/or CD, which can then be compared against an intended design. The intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.

[0090] From this design layout, one or more portions may be identified, which are referred to as “clips”. In an example, a set of clips is extracted, which represents the complicated patterns in the design layout (typically about 50 to 1000 clips, although any number of clips may be used). These patterns or clips represent small portions (e.g., circuits, cells or patterns) of the design and more specifically, the clips typically represent small portions for which particular attention and/or verification is needed. In other words, clips may be the portions of the design layout, or may be similar or have a similar behavior of portions of the design layout, where one or more critical features are identified either by experience (including clips provided by a customer), by trial and error, or by running a full-chip simulation. Clips may contain one or more test patterns or gauge patterns.

[0091] An initial larger set of clips may be provided a priori by a customer based on one or more known critical feature areas in a design layout which require particular image optimization. Alternatively, in another example, an initial larger set of clips may be extracted from the entire design layout by using some kind of automated (such as machine vision) or manual algorithm that identifies the one or more critical feature areas.

[0092] In a lithographic projection apparatus, for example, using an EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm) source or a non-EUV source, reduced radiation intensity may lead to stronger stochastic variation, such as pronounced line width roughness and/or local CD variation in small two-dimensional features such as holes. In a lithographic projection apparatus using EUV radiation, reduced radiation intensity may be attributed to low total radiation output from the EUV radiation source, radiation loss from optics that shape the radiation from the source, transmission loss through the projection optics, high photon energy that leads to fewer photons under a constant dose, etc. The stochastic variation may be attributed to factors such as photon shot noise, photon-generated secondary electrons, photon absorption variation, and/or photon-generated acids in the resist. The small size of features further compounds this stochastic variation. The stochastic variation in smaller features is a significant factor in production yield and justifies inclusion in a variety of optimization processes of the lithographic process and/or lithographic projection apparatus.

[0093] Under a same radiation intensity, lower exposure time of each substrate leads to higher throughput of a lithographic projection apparatus but stronger stochastic variation. The photon shot noise in a given feature under a given radiation intensity is proportional to the square root of the exposure time. The desire to lower exposure time for the purpose of increasing throughput exists in lithography using EUV and other radiation sources. Therefore, the methods and apparatuses described herein that consider the stochastic variation are not limited to EUV lithography.

[0094] The throughput can also be affected by the total amount of radiation directed to the substrate. In some lithographic projection apparatuses, a portion of the radiation from the source is sacrificed in order to achieve a desired shape of the illumination.

[0095] Fig. 3A schematically depicts line edge roughness (LER). Assuming ah conditions are identical in three exposures or simulations of exposure of an edge 903 of a feature on a design layout, the resist images 903A, 903B and 903C of the edge 903 may have slightly different shapes and locations. Locations 904A, 904B and 904C of the resist images 903A, 903B and 903C may be measured by averaging the resist images 903 A, 903B and 903C, respectively, to averages 902A, 902B, and 902C respectively. A stochastic variation such as line edge roughness is usually represented by a parameter of the distribution of the underlying characteristic. In this example, LER of the edge 903 may be represented by 3s of the spatial distribution of the edge 903, assuming the distribution is a normal distribution. The 3s may be derived from the locations of the edge 903 (e.g., the locations 904A, 904B and 904C) in many exposures or simulations of the edge 903. LER represents the range in which the edge 903 probably will fall due to the stochastic effect. For this reason, the LER can also be called stochastic edge placement error (SEPE). LER may be greater than the changes of the edge 903 position caused by non-stochastic effects.

[0096] Fig. 3B schematically depicts line width roughness (LWR). Assuming all conditions are identical in three exposures or simulations of exposure of a long rectangle feature 910 with a width 911 on a design layout, the resist images 910A, 910B and 9 IOC of the rectangle feature 910 may have slightly different widths 911 A, 91 IB and 911C, respectively. LWR of the rectangle feature 910 may be a measure of the distribution of the widths 911 A, 91 IB and 911C. For example, the LWR may be a 3s of the distribution of the width 911, assuming the distribution is a normal distribution. The LWR may be derived from many exposures or simulations of the width 911 of the rectangle feature 910 (e.g., the widths 911 A, 91 IB and 911C). In the context of a short feature (e.g., a contact hole), the widths of its images are not well defined because long edges are not available for averaging their locations. A similar quantity, LCDU, may be used to characterize the stochastic variation. The LCDU is a 3s of the distribution (assuming the distribution is a normal distribution) of measured CDs of images of the short feature.

[0097] Fig. 3C schematically illustrates how a stochastic variation may affect lithography. In the example in Fig. 3C, an intended position of an edge of a feature in an aerial image or resist image is indicated as the dotted line 982. The actual edge is indicated as the curve 995, which comprises both a stochastic variation (LER in this example) and an error (e.g., caused by other factors such as dose variation, focus variation, illumination shape, patterning device (e.g., mask) error, etc.) unrelated to stochastic effect. The average location of the actual edge is indicated as the solid line 981. The difference 980 between the average location (the solid line 981) and the intended location (the dotted line 982) is the error unrelated to stochastic effect, which may be referred to as an edge placement error (EPE). The variation of the actual edge relative to the average location is the stochastic variation. The band 990 around the average location (the solid line 981) that encloses the stochastic variation may be called a stochastic variation band, which represents the extent the actual local edge placement may reach due to a stochastic effect. The width of the stochastic variation band may be greater than the EPE. Therefore, the total probabilistic deviation from the intended location (the dotted line 982) of the edge may be a sum of the EPE and the stochastic variation band. If there were no stochastic variation, the actual location of the edge in this example would be at the location indicated by the solid line 981, which does not merge with a neighboring feature 983 and thus does not produce a defect. However, when a stochastic variation is present and the stochastic variation band is large enough (e.g., the band 990), the actual edge may merge (where marked by the dotted circle) with the neighboring feature 983 and thus produce a defect.

Therefore, it is desirable to evaluate, simulate or reduce a stochastic variation.

[0098] A method of determining a relationship between a stochastic variation of a characteristic of an aerial image or a resist image and one or more design variables is depicted in a flow chart in Fig. 4A and a schematic in Fig. 4B. In step 1301, values 1503 of the characteristic are measured from a plurality of aerial images or resist images 1502 formed (by actual exposure or simulation) for each of a plurality of sets 1501 of values of the one or more design variables. In step 1302, a value 1505 of the stochastic variation is determined for each set 1501 of values of the one or more design variables from a distribution 1504 of the values 1503 of the characteristic measured from the aerial images or resist images formed for that set 1501 of values of the one or more design variables. In step 1303, a relationship 1506 is determined by fitting one or more parameters of a model from the values 1504 of the stochastic variation and the sets 1501 of values of the one or more design variables.

[0099] In an example, the stochastic variation is the LER and the one or more design variables are blurred image ILS (bl_ILS), dose and image intensity. The model may be:

LER = a x bl_ILS b x (dose x image intensity) 11 (Eq. 30)

The parameters a, b and c may be determined by fitting. The blurred image ILS (bl_ILS) is the image log slope (ILS) with a spatial blur applied thereto. The spatial blur may represent blur of a resist image due to diffusion of a chemical species generated in a resist layer by exposure to radiation.

[00100] Fig. 5 A shows a result of fitting using the model in Eq. 30. Values of LER 1400 (as an example of the stochastic variation) of more than 900 different features including long trenches 1401, long lines 1402, short lines 1403, short trenches 1404, short line ends 1405, and short trench ends 1406, at a constant image intensity and a constant dose, are determined following the method in Fig. 4A and Fig. 4B. The parameters a and b in Eq. 30 (parameter c is rolled into parameter a because dose weighted blurred image intensity is constant) are determined by fitting the values of LER with values of the design variable, bl_ILS. The fitting result is shown in curve 1410.

[00101] Fig. 5B shows a result of fitting 1510 using the model in Eq. 30. Values of LCDU 1500 (as an example of the stochastic variation) of CD in the width direction and of CD in the length direction of a 20 by 40 nm trench 1505 at a variety of doses and a variety of image intensities are determined using the method in Fig. 4A and Fig. 4B. The parameters a, b and c in Eq. 30 are determined by fitting the values of LWR with values of the design variable, bl_ILS, dose and image intensity.

[00102] Once the relationship between a stochastic variation of a characteristic of an aerial image or a resist image and one or more design variables is determined by a method such as the method in Fig. 4A and Fig. 4B, a value of the stochastic variation may be calculated for that characteristic using the relationship. Fig. 6 shows an exemplary flow chart for this calculation. In step 1610, a set of conditions (e.g., NA, s, dose, focus, resist chemistry, one or more projection optics parameters, one or more illumination parameters, etc.) are selected. In step 1620, the values of the one or more design variables are calculated under these conditions. For example, values of edge position of a resist image and bl_ILS along the edges. In step 1630, values of the stochastic variation are calculated from the relationship between the stochastic variation and the one or more design variables. For example, in an example, the stochastic variation is the LER of the edges. In optional step 1640, a noise vector may be defined, whose frequency distribution approximately matches real substrate measurements. In optional step 1650, the noise vector is overlaid on the results (e.g., stochastic edge of the aerial image or resist image).

[00103] The relationship between a stochastic variation of a characteristic of an aerial image or a resist image and one or more design variables may also be used to identify one or more“hot spots” 1700 of the aerial image or resist image, as shown in Fig. 7. A“hot spot” can be defined as a location on the image where the stochastic variation is beyond a certain magnitude. For example, if two positions on two nearby edges have large values of LER, these two positions have a high chance of joining each other.

[00104] In an example, values of a stochastic variation (and/or a function thereof) at a plurality of conditions and at a plurality of values of the one or more design variables may be calculated and compiled in a non-transitory computer-readable medium 1800, as shown in Fig. 8, such as a database stored on a hard drive. A computer may query the medium 1800 and calculate a value of the stochastic variation from the content of the medium 1800.

[00105] Determination of a stochastic variation of a characteristic of an aerial/resist image may be useful in many ways in the lithographic process. In one example, the stochastic variation may be taken into account in optical proximity correction (OPC).

[00106] As an example, OPC addresses the fact that the final size and placement of an image of the design layout projected on the substrate will not be identical to, or simply depend only on the size and placement of, the design layout on the patterning device. It is noted that the terms“mask”,“reticle”, “patterning device” are utilized interchangeably herein. Also, person skilled in the art will recognize that, especially in the context of lithography simulation/optimization, the term“mask”/”patterning device” and “design layout” can be used interchangeably, as in lithography simulation/optimization, a physical patterning device is not necessarily used but a design layout can be used to represent a physical patterning device. For the small feature sizes and high feature densities present on some design layouts, the position of a particular edge of a given feature will be influenced to a certain extent by the presence or absence of other adjacent features. These proximity effects arise from minute amounts of radiation coupled from one feature to another and/or non-geometrical optical effects such as diffraction and interference. Similarly, proximity effects may arise from diffusion and other chemical effects during, e.g., post-exposure bake (PEB), resist development, and etching that generally follow lithography.

[00107] To help ensure that the projected image of the design layout is in accordance with

requirements of a given target device design, proximity effects should be predicted and compensated for, using a sophisticated numerical model, correction or pre -distortion of the design layout. The article“Full- Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design”, C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005), which is incorporated herein its entirety by reference, provides an overview of“model-based” optical proximity correction processes. In a typical high-end design almost every feature of the design layout has some modification in order to achieve high fidelity of the projected image to the target design. These modifications may include shifting or biasing of edge positions or line widths as well as application of“assist” features that are intended to assist projection of other features.

[00108] Application of model-based OPC to a target design involves good process models and considerable computational resources, given the many millions of features typically present in a chip design. However, applying OPC is generally not an“exact science”, but an empirical, iterative process that does not always compensate for all possible proximity effects. Therefore, the effect of OPC, e.g., a design layout after application of OPC and/or any other RET, should be verified by design inspection, i.e. intensive full-chip simulation using a calibrated numerical process model, in order to reduce or minimize the possibility of design flaws being built into the patterning device pattern. This is driven by the enormous cost of making high-end patterning devices, which run in the multi-million dollar range, as well as by the impact on turn-around time by reworking or repairing actual patterning devices once they have been manufactured.

[00109] Both OPC and full-chip RET verification may be based on numerical modeling systems and methods as described, for example in, U.S. Patent Application Publication No. US 2005-0076322 and an article titled“Optimized Hardware and Software For Fast, Full Chip Simulation”, by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005), which are incorporated herein in their entireties by reference.

[00110] One RET is related to adjustment of the global bias (also referred to as“mask bias”) of the design layout. The global bias is the difference between the patterns in the design layout and the patterns intended to print on the substrate. For example, ignoring (de-)magnification by projection optics, a circular pattern of 25 nm diameter may be printed on the substrate by a 50 nm diameter pattern in the design layout or by a 20 nm diameter pattern in the design layout but with high dose.

[00111] In addition to optimization to design layouts or patterning devices (e.g., OPC), the illumination can also be optimized, either jointly with patterning device optimization or separately, in an effort to improve the overall lithography fidelity. Many off-axis illuminations, such as annular, quadrupole, and dipole, have been introduced, and have provided more freedom for OPC design, thereby improving the imaging results. Off-axis illumination is a way to resolve fine structures (i.e., target features) contained in the patterning device. However, when compared to a traditional illumination, an off-axis illumination usually provides less radiation intensity for the aerial image (AI). Thus, it becomes desirable to attempt to optimize the illumination to achieve the optimal balance between finer resolution and reduced radiation intensity.

[00112] Numerous illumination optimization approaches can be found, for example, in an article by Rosenbluth et al., titled“Optimum Mask and Source Patterns to Print a Given Shape”, Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002) ), which is incorporated herein its entirety by reference. The illumination shape (sometimes referred to as an illumination source) is partitioned into several regions, each of which corresponds to a certain region of the pupil spectrum.

Then, the distribution is assumed to be uniform in each illumination shape region and the brightness of each region is optimized for process window. However, such an assumption that the distribution is uniform in each region is not always valid, and as a result the effectiveness of this approach suffers. In another example set forth in an article by Granik, titled“Source Optimization for Image Fidelity and Throughput”, Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, (2004), which is incorporated herein its entirety by reference, several existing illumination optimization approaches are overviewed and a method based on illuminator pixels is proposed that converts the optimization problem into a series of non-negative least square optimizations. Though these methods demonstrate some success, they typically require multiple complicated iterations to converge. In addition, it may be difficult to determine the appropriate/optimal values for some extra parameters, such as g in Granik' s method, which dictates the trade-off between optimizing the illumination for substrate image fidelity and the smoothness requirement of the illumination.

[00113] For low ki photolithography, optimization of both the illumination and patterning device (sometimes referred to as source mask optimization (SMO)) is useful to help ensure a viable process window for projection of critical patterns. Some algorithms (e.g., Socha et. al., Proc. SPIE vol. 5853,

2005, p.180, which is incorporated herein its entirety by reference) discretize illumination into independent illumination points and the patterning device into diffraction orders in the spatial frequency domain, and separately formulate a cost function (which is defined as a function of one or more selected design variables) based on a process window metric, such as exposure latitude, which could be predicted by an optical imaging model from illumination point intensities and patterning device diffraction orders.

[00114] The term“design variables” as used herein comprises a set of parameters of an apparatus or process of a device manufacturing process such as of a lithographic projection apparatus or of a lithographic process, for example, parameters a user of the lithographic projection apparatus can adjust, or image characteristics a user can adjust by adjusting those parameters. It should be appreciated that any one or more characteristics of a device manufacturing process or apparatus, including one or more characteristics of the illumination, the patterning device, the projection optics, and/or resist, can be represented by the design variables in the optimization. The cost function is often a non-linear function of the design variables. Then standard optimization techniques are used to optimize the cost function.

[00115] Relatedly, the pressure of ever decreasing design rules have driven semiconductor chipmakers to move deeper into the low ki lithography era with existing 193 nm ArF lithography. Lithography towards lower ki puts heavy demands on RET, exposure tools, and the need for litho-friendly design. 1.35 ArF hyper numerical aperture (NA) exposure tools may be used in the future. To help ensure that device design can be produced on to the substrate with workable process window, illumination-patterning device optimization (referred to herein as source-mask optimization or SMO) is becoming a significant RET for 2x nm node.

[00116] An illumination and patterning device (design layout) optimization method and system that allows for simultaneous optimization of the illumination and patterning device using a cost function without constraints and within a practicable amount of time is described in U.S. Patent Application Publication No. US 2011-0230999, which is hereby incorporated in its entirety by reference. Another SMO method and system that involves optimizing the illumination by adjusting pixels of the illumination is described in U.S. Patent Application Publication No. 2010/0315614, which is hereby incorporated in its entirety by reference.

[00117] In a lithographic projection apparatus, as an example, a cost function may be expressed as wherein (z 1 , z 2 , , z N ) are N design variables or values thereof. f p (z 1 , z 2 , ··· , z N ) can be a function of the design variables (z 1; z 2 , , z N ) such as a difference between an actual value and an intended value of a characteristic at an evaluation point for a set of values of the design variables of (z 1; z 2 , z N ). w p is a weight constant associated with f p (z 1 , z 2 , ··· , z N ). An evaluation point or pattern more critical than others can be assigned a higher w p value. Patterns and/or evaluation points with larger number of occurrences may be assigned a higher w p value, too. Examples of the evaluation points can be any physical point or pattern on the substrate, any point on a virtual design layout, or resist image, or aerial image, or a combination thereof. f p (z 1 , z 2 , ··· , z N ) can also be a function of one or more stochastic variations such as the LWR, LER, and/or LCDU, which are in turn functions of the design variables ( z l z 2 , ··· , z N ).

/ p (z 1; z 2 , ··· , z N ) may be an explicit function of a stochastic variation, such as f p (LER) = LER 2 (Z 1 , Z 2 , · · · , ¾) f p ( z i > ¾ < < ¾) may be an explicit function of a variable that is a function of a stochastic variation such as LER. For example, bl_ILS may be a function of LER as indicated by Eq. 30 - , ¾) may be a variable that affects a

stochastic variation such as LER.

[00118] So, optimization using a cost function that includes f p (z 1 , z 2 , , z N ) that represents a stochastic variation may lead to values of the one or more design variables that reduce or minimize the stochastic variation. The cost function may represent any one or more suitable characteristics of the lithographic projection apparatus, lithographic process or the substrate, for instance, focus, CD, image shift, image distortion, image rotation, stochastic variation, throughput, LCDU, or a combination thereof. LCDU is local CD variation (e.g., three times of the standard deviation of the local CD distribution). In one example, the cost function represents (i.e., is a function of) LCDU, throughput, and the stochastic variations. In one example, the cost function represents (e.g., includes a f p (z 1 , z 2 , ··· , z N ) that is a function of) EPE, throughput, and the stochastic variations. In one example, the cost function includes a f p (z 1 , z 2 , · · · , z N ) that is a function of EPE and a f p (z 1 , z 2 , · · · , z N ) that is a function of a stochastic variation such as LER. In one example, the design variables (z 1 , z 2 , · · · , z N ) comprise one or more selected from dose, global bias of the patterning device, shape of illumination, or a combination thereof. Since it is the resist image that often dictates the pattern on a substrate, the cost function may include a function that represents one or more characteristics of the resist image. For example, f p (z 1 , z 2 , · · · , z N ) of such an evaluation point can be simply a distance between a point in the resist image to an intended position of that point (i.e., edge placement error EPE p (z 1 , z 2 , · · · , z N )). The design variables can include any adjustable parameter such as an adjustable parameter of the illumination, the patterning device, the projection optics, dose, focus, etc.

[00119] The lithographic apparatus may include components collectively called as“wavefront manipulator” that can be used to adjust the shape of a wavefront and intensity distribution and/or phase shift of a radiation beam. In an example, the lithographic apparatus can adjust a wavefront and intensity distribution at any location along an optical path of the lithographic projection apparatus, such as before the patterning device, near a pupil plane, near an image plane, and/or near a focal plane. The wavefront manipulator can be used to correct or compensate for certain distortions of the wavefront and intensity distribution and/or phase shift caused by, for example, the illumination system, the patterning device, temperature variation in the lithographic projection apparatus, thermal expansion of components of the lithographic projection apparatus, etc. Adjusting the wavefront and intensity distribution and/or phase shift can change values of the evaluation points and the cost function. Such changes can be simulated from a model or actually measured. Of course, CF(z 1 z 2 , ··· , z N ) is not limited to the form in Eq. 1. CF(z 1 , z 2 , · · · , Z / v) can be in any other suitable form.

[00120] According to an example, a cost function representing both EPE and LER may have the form:

This is because EPE and LER both have a dimension of length. Therefore, they can be directly added. Alternative cost functions may be used, including cost functions in which LER is included in EPE.

[00121] Eq. 30 links bl_ILS to LER. Therefore, optimization using a cost function representing bl_ILS is similar to optimization using a cost function representing LER. Greater bl_ILS leads to lesser LER and vice versa. According to an example, a cost function may represent both EPE and bl_ILS (or normalized ILS (NILS)). However, EPE and bl_ILS (or NILS) might not be added directly because bl_ILS does not measure a length and EPE does, or NILS is dimensionless and EPE has a dimension of length. Therefore, representing bl_ILS (or NILS) by a function that represents a length makes directly adding that representation to EPE possible.

[00122] ILS is defined as ILS = dlnl/dx. bl_ILS is spatially blurred ILS. NILS is defined as =

CD X ILS . These definitions suggest a function that can represent ILS, bl_ILS or NILS and represents a length, and thus allows directly adding to EPE. Fig. 9A and Fig. 9B each shows intensity of an image (aerial or resist) across an edge of a pattern in a direction (x) perpendicular to that edge. Higher slope of the intensity with respect to x means higher ILS, bl_ILS and NILS. The example of Fig. 9 A thus has a higher ILS, bl_ILS and NILS than the example of Fig. 9B. The edge location X e shifts with the intensity sufficient to expose the resist I. The intensity sufficient to expose the resist I changes with the dose, when the duration of exposure is fixed. Therefore, the amount of shift (“EPEILS” hereafter, e.g., 2911 and 2912) of the edge location X e caused by a given amount of change in the dose (e.g., ±5 relative to nominal dose, which may be a parameter a user chooses) is determined by ILS, bl_ILS or NILS. The EPEILS in the example of Fig. 9A is smaller than the EPEILS in the example of Fig. 9B because the example of Fig. 9A thus has a higher ILS, bl_ILS and NILS than the example of Fig. 9B. The EPEILS is thus an example of a function that can represent ILS, bl_ILS or NILS and represents a length, allowing directly adding to EPE in a cost function. EPEILS can be written as where lLS(x e (0)) is a function of the design variables (z 1 , z 2 , , z N ). A cost function that represents both EPE and ILS, bl_ILS or NILS, according to an example, may have the form: where EPE p (z , z 2 , , z N ) \ d=0 is the EPE value at the nominal dose, p is the p-th evaluation point, and S p is the weight for the EPEILS term. So, for example, optimization by minimizing this cost function maximizes 7LS(x e (0)), and thus minimizes LER.

[00123] According to an example, the weight of the EPE IL s term can be reduced relative

to the weight of the EPE terms (e.g., EPE p ) when the EPE term increase, so that the EPE JLS term n ot dominate the EPE terms EPE p . If the EPE ILS term dominates, the EPE terms will

not be reduced sufficiently by the optimization. For example, when I^PE p I is above a user-selected offset, s p = 0 when [E E p I > OF (thereby the optimization ignores the EPEILS term and only reduces the EPE terms) and s p ¹ 0 when [E E p I £ OF, where OF is the offset. For example, w p =

. Higher weight of the EPE terms will make the optimization

favor reduction of the EPE terms in the optimization using the cost function.

[00124] Fig. 10 schematically shows the curves of the cost function as a function of EPE p where the

( w defauit , when\EPE p \ £ OF

weight W p = 1 . . . As Fig. 10 shows, the EPE terms account for a

{W de f auit Έ ^ o ff set ’ when\EPE p I > OF

greater proportion of the cost function when | EPE p | > OF because the weight w p has a greater value.

[00125] The design variables may have constraints, which can be expressed as (z 1; z 2 , , z N ) 6 Z, where is a set of possible values of the design variables. One possible constraint on the design variables may be imposed by a desired throughput of the lithographic projection apparatus. A lower bound of desired throughput leads to an upper bound on the dose and thus has implications for the stochastic variation (e.g., imposing a lower bound on the stochastic variation). Shorter exposure time and/or lower dose generally leads to higher throughput but greater stochastic variation. Consideration of substrate throughput and minimization of the stochastic variation may constrain the possible values of design variables because the stochastic variation is a function of the design variables. Without such a constraint imposed by the desired throughput, the optimization may yield a set of values of the design variables that are unrealistic. For example, if the dose is a design variable, without such a constraint, the optimization may yield a dose value that makes the throughput economically impossible. However, the usefulness of constraints should not be interpreted as a necessity. For example, the throughput may be affected by the pupil fill ratio. For some illumination designs, a low pupil fill ratio may discard radiation, leading to lower throughput. Throughput may also be affected by the resist chemistry. Slower resist (e.g., a resist that requires higher amount of radiation to be properly exposed) leads to lower throughput.

[00126] The optimization process therefore is to find a set of values of the one or more design variables, under the constraints (z 1; z 2 , ··· , z w ) 6 Z, that optimize the cost function, e.g., to find:

(¾, ¾, ··· , ¾) = arg (Eq. 2)

A general method of optimizing, according to an example, is illustrated in Fig. 11. This method comprises a step 302 of defining a multi-variable cost function of a plurality of design variables. The design variables may comprise any suitable combination selected from design variables representing one or more characteristics of the illumination (300A) (e.g., pupil fill ratio, namely percentage of radiation of the illumination that passes through a pupil or aperture), one or more characteristics of the projection optics (300B) and/or one or more characteristics of the design layout (300C). For example, the design variables may include design variables representing one or more characteristics of the illumination (300A) and of the design layout (300C) (e.g., global bias) but not of one or more characteristics of the projection optics (300B), which leads to a SMO. Or, the design variables may include design variables representing one or more characteristics of the illumination (300A) (optionally polarization), of the projection optics (300B) and of the design layout (300C), which leads to a illumination-patterning device (e.g., mask) -projection system (e.g., lens) optimization (SMLO). In step 304, the design variables are simultaneously adjusted so that the cost function is moved towards convergence. In step 306, it is determined whether a predefined termination condition is satisfied. The predetermined termination condition may include various possibilities, e.g., one or more selected from: the cost function may be minimized or maximized, as required by the numerical technique used, the value of the cost function has been equal to a threshold value or has crossed the threshold value, the value of the cost function has reached within a preset error limit, and/or a preset number of iterations is reached. If a condition in step 306 is satisfied, the method ends. If the one or more conditions in step 306 is not satisfied, the steps 304 and 306 are iteratively repeated until a desired result is obtained. The optimization does not necessarily lead to a single set of values for the one or more design variables because there may be a physical restraint, caused by a factor such as pupil fill factor, resist chemistry, throughput, etc. The optimization may provide multiple sets of values for the one or more design variables and associated performance characteristics (e.g., the throughput) and allows a user of the lithographic apparatus to pick one or more sets. Fig. 22 shows several relations of the throughput (in the unit of number of substrates per hour) in the horizontal axis and a measure of the stochastic variation, for example, the average of the worst corner CDU and LER in the vertical axis, to resist chemistry (which may be represented by the dose required to expose the resist), pupil fill ratio (also known as“pupil fill factor”), illumination efficiency (e.g., the ratio of mirrors that direct radiation to the patterning device and the total available mirrors in the illuminator) and mask bias. Trace 1811 shows these relations with 100% pupil fill factor and a fast resist. Trace 1812 shows these relations with 100% pupil fill factor and a slow resist. Trace 1821 shows these relations with 60% pupil fill factor and the fast resist. Trace 1822 shows these relations with 60% pupil fill factor and the slow resist. Trace 1831 shows these relations with 29% pupil fill factor and the fast resist. Trace 1832 shows these relations with 29% pupil fill factor and the slow resist. The optimization may present all these possibilities to the user so the user may choose the pupil factor, the resist chemistry based on his specific requirement of the stochastic variation and/or throughput. The optimization may further include calculating a relation between a throughput and a pupil fill factor, resist chemistry and a mask bias. The optimization may further include calculating a relation between a measure of a stochastic variation and a pupil fill factor, resist chemistry and a mask bias.

[00127] According to an example, also as schematically illustrated in the flow chart of Fig. 23, an optimization may be carried out under each of a set of values of the one or more design variables (e.g., an array, a matrix, or a list of values of the global bias and mask anchor bias) (Step 1910). In an example, the cost function of the optimization is a function of one or more measures (e.g., LCDU) of the stochastic variation. Then, in step 1920, various characteristics of the process, the aerial image, and/or resist image (e.g., critical dimension uniformity (CDU), depth of focus (DOF), exposure latitude (EF), mask error enhancement factor (MEEF), LCDU, throughput, etc.) may be presented (e.g., in a 3D plot) to a user of the optimization for each set of values of the one or more design variables. In optional step 1930, the user selects a set of values of the one or more design variables based on his one or more desired

characteristics. The flow may be implemented via an XML file or any script language.

[00128] The illumination, patterning device and projection optics can be optimized alternatively (referred to as Alternative Optimization) or optimized simultaneously (referred to as Simultaneous Optimization). The terms“simultaneous”,“simultaneously”,“joint” and“jointly” as used herein mean that the one or more design variables representing one or more characteristics of the illumination, patterning device, projection optics and/or any other design variable, are allowed to change at the same time. The term“alternative” and“alternatively” as used herein mean that not all of the design variables are allowed to change at the same time.

[00129] In Fig. 11 , the optimization of all the design variables is executed simultaneously. Such a flow may be called simultaneous flow or co-optimization flow. Alternatively, the optimization of all the design variables is executed alternatively, as illustrated in Fig. 12. In this flow, in each step, some design variables are fixed while other design variables are optimized to optimize the cost function; then in the next step, a different set of variables are fixed while the others are optimized to minimize or maximize the cost function. These steps are executed alternatively until convergence or a certain terminating condition is met. As shown in the non-limiting example flowchart of Fig. 12, first, a design layout (step 402) is obtained, then a step of illumination optimization is executed in step 404, where the one or more design variables of the illumination are optimized (SO) to minimize or maximize the cost function while other design variables are fixed. Then in the next step 406, a patterning device (e.g., mask) optimization (MO) is performed, where the design variables of the patterning device are optimized to minimize or maximize the cost function while other design variables are fixed. These two steps are executed alternatively, until a certain terminating condition is met in step 408. One or more various termination conditions can be used, such as the value of the cost function becomes equal to a threshold value, the value of the cost function crosses the threshold value, the value of the cost function reaches within a preset error limit, a preset number of iterations is reached, etc. Note that SO-MO- Alternative-Optimization is used as an example for the alternative flow. The alternative flow can take many different forms, such as SO-LO-MO-Alternative- Optimization, where SO, LO (projection optics optimization) is executed, and MO alternatively and iteratively; or first SMO can be executed once, then execute LO and MO alternatively and iteratively; and so on. Another alternative is SO-PO-MO (illumination optimization, polarization optimization and patterning device optimization). Finally the output of the optimization result is obtained in step 410, and the process stops.

[00130] The pattern selection algorithm, as discussed before, may be integrated with the simultaneous or alternative optimization. For example, when an alternative optimization is adopted, first a full-chip SO can be performed, one or more‘hot spots’ and/or‘warm spots’ are identified, then a MO is performed. In view of the present disclosure numerous permutations and combinations of sub-optimizations are possible in order to achieve the desired optimization results.

[00131] Fig. 13A shows one exemplary method of optimization, where a cost function is minimized or maximized. In step S502, initial values of one or more design variables are obtained, including one or more associated tuning ranges, if any. In step S504, the multi-variable cost function is set up. In step S506, the cost function is expanded within a small enough neighborhood around the starting point value of the one or more design variables for the first iterative step (i=0). In step S508, standard multi-variable optimization techniques are applied to the cost function. Note that the optimization problem can apply constraints, such as the one or more tuning ranges, during the optimization process in S508 or at a later stage in the optimization process. Step S520 indicates that each iteration is done for the one or more given test patterns (also known as“gauges”) for the identified evaluation points that have been selected to optimize the lithographic process. In step S510, a lithographic response is predicted. In step S512, the result of step S510 is compared with a desired or ideal lithographic response value obtained in step S522. If the termination condition is satisfied in step S514, i.e. the optimization generates a lithographic response value sufficiently close to the desired value, then the final value of the design variables is outputted in step S518. The output step may also include outputting one or more other functions using the final values of the design variables, such as outputting a wavefront aberration-adjusted map at the pupil plane (or other planes), an optimized illumination map, and/or optimized design layout etc. If the termination condition is not satisfied, then in step S516, the values of the one or more design variables is updated with the result of the i-th iteration, and the process goes back to step S506. The process of Fig. 13A is elaborated in details below.

[00132] In an exemplary optimization process, no relationship between the design variables

(z 1; z 2 , ··· , z N ) and f p (z 1; z 2 , ··· , z N ) is assumed or approximated, except that f p (z 1; z 2 , ··· , z N ) is sufficiently smooth (e.g. first order derivatives 2, ··· N) exist), which is generally

valid in a lithographic projection apparatus. An algorithm, such as the Gauss-Newton algorithm, the Levenberg-Marquardt algorithm, the Broyden-Fletcher-Goldfarb-Shanno algorithm, the gradient descent algorithm, the simulated annealing algorithm, the interior point algorithm, and the genetic algorithm, can be applied to find (z 1; z 2 , ··· , z N ).

[00133] Here, the Gauss-Newton algorithm is used as an example. The Gauss-Newton algorithm is an iterative method applicable to a general non-linear multi-variable optimization problem. In the /-th iteration wherein the design variables (z 1; z 2 , ··· , z N ) take values of (z iu z2ί , ··· , ¾;) > the Gauss-Newton algorithm linearizes f p (z 1 , z 2 , · , z N ) in the vicinity of (z lL , z 2 i , , z Ni ), and then calculates values (Zi (H-i) , z 2(i+i) , ··· , ¾ (;+!) ) in the vicinity of (¾, ¾ < ··· , z Ni ) that give a minimum of CF(z 1 , z 2 ,— , ½)· The design variables {z l z 2 , · · · , z N ) take the values of z 1 ^ +1 Z 2 ^ i+1 y · · , ¾(i+i)) in the (/+l)-th iteration. This iteration continues until convergence (i.e. CF(z 1 , z 2 , · · · , z N ). does not reduce any further) or a preset number of iterations is reached.

[00134] Specifically, in the /-th iteration, in the vicinity of (¾, z 2i , · · · , z Ni ),

(Eq. 3)

[00135] Under the approximation of Eq. 3, the cost function becomes: which is a quadratic function of the design variables (z 1 z 2 , ··· , z N ). Every term is constant except the design variables {z l z 2 , , z N ~ ).

[00136] If the design variables (z 1 , z 2 , , z N ) are not under any constraints,

( z i (i+i)< z 2 (i+i)< > z / v (i+i) ) can t> e derived by solving N linear equations:

dCF(z 1 ,z 2 ,---,z N )

dz . = 0, wherein n = 1,2, ··· , N.

n

[00137] If the design variables (z 1 z 2 , , z N ) are under constraints in the form of J inequalities (e.g. tuning ranges of (z 1 , z 2 , ··· , z N )) £ B j , forj = 1,2, ··· and K equalities (e.g.

interdependence between the design variables) C nk z n £ D k , for k = 1,2, ··· , K, the optimization process becomes a classic quadratic programming problem, wherein A nj , B j , C nk , D k are constants. Additional constraints can be imposed for each iteration. For example, a“damping factor” A D , can be introduced to limit the difference between (Zip +i) , ^2 (i+i) , > ¾ (i+i) ) an d (¾, ¾;, ··· , ¾;), so that the approximation of Eq. 3 holds. Such constraints can be expressed as z ni — A D £ z n £ z ni + A D .

( z i (i+i)< z 2 (i+i)> > z JV(i+i) ) can be derived using, for example, methods described in Numerical Optimization (2 nd ed.) by Jorge Nocedal and Stephen J. Wright (Berlin New York: Vandenberghe.

Cambridge University Press).

[00138] Instead of minimizing the RMS of f p (z l z 2 , ··· , z w ), the optimization process can minimize magnitude of the largest deviation (the worst defect) among the evaluation points to their intended values. In this approach, the cost function can alternatively be expressed as wherein CL p is the maximum allowed value for f p (z 1 , z 2 , ··· , z N ). This cost function represents the worst defect among the evaluation points. Optimization using this cost function minimizes magnitude of the worst defect. An iterative greedy algorithm can be used for this optimization.

[00139] The cost function of Eq. 5 can be approximated as: wherein q is an even positive integer such as at least 4, or at least 10. Eq. 6 mimics the behavior of Eq. 5, while allowing the optimization to be executed analytically and accelerated by using methods such as the deepest descent method, the conjugate gradient method, etc.

[00140] Minimizing the worst defect size can also be combined with linearizing of f p (z lt z 2 , ··· , z N ). Specifically, f p (z lt z 2 , · · · , z N ) is approximated as in Eq. 3. Then the constraints on worst defect size are written as inequalities E Lp £ f p (z 1 , z 2 , ··· , z N ) < E Up , wherein E ip and E Up , are two constants specifying the minimum and maximum allowed deviation for the f p (z lt z 2 , ··· , z N ). Plugging Eq. 3 in, these constraints are transformed to, for p=l,...P,

fp (. z lU Z 2 ’ Z 1 Vi) (Eq. 6”)

[00141] Since Eq. 3 is generally valid only in the vicinity of (z 1 z 2 , ··· , z N ~ ), in case the desired constraints E Lp £ f p (z lt z 2 , · · · , z w ) £ E Up cannot be achieved in such vicinity, which can be determined by any conflict among the inequalities, the constants E ip and E Up can be relaxed until the constraints are achievable. This optimization process minimizes the worst defect size in the vicinity of {z lt z 2 , ··· , z N ), i. Then each step reduces the worst defect size gradually, and each step is executed iteratively until certain terminating conditions are met. This will lead to optimal reduction of the worst defect size.

[00142] Another way to minimize the worst defect is to adjust the weight w p in each iteration. For example, after the /-th iteration, if the r-th evaluation point is the worst defect, w r can be increased in the (/+l)-th iteration so that the reduction of that evaluation point’s defect size is given higher priority. [00143] In addition, the cost functions in Eq. 4 and Eq. 5 can be modified by introducing a Lagrange multiplier to achieve compromise between the optimization on RMS of the defect size and the optimization on the worst defect size, i.e., where l is a preset constant that specifies the trade-off between the optimization on RMS of the defect size and the optimization on the worst defect size. In particular, if l=0, then this becomes Eq. 4 and the RMS of the defect size is only minimized; while if l=ί, then this becomes Eq. 5 and the worst defect size is only minimized; if 0<d<l, then both are taken into consideration in the optimization. Such optimization can be solved using multiple methods. For example, the weighting in each iteration may be adjusted, similar to the one described previously. Alternatively, similar to minimizing the worst defect size from inequalities, the inequalities of Eq. 6’ and 6” can be viewed as constraints of the design variables during solution of the quadratic programming problem. Then, the bounds on the worst defect size can be relaxed incrementally or increase the weight for the worst defect size incrementally, compute the cost function value for every achievable worst defect size, and choose the design variable values that minimize the total cost function as the initial point for the next step. By doing this iteratively, the minimization of this new cost function can be achieved.

[00144] Optimizing a lithographic projection apparatus can expand the process window. A larger process window provides more flexibility in process design and chip design. The process window can be defined as a set of focus and dose values for which the resist image is within a certain limit of the design target of the resist image. Note that all the methods discussed here may also be extended to a generalized process window definition that can be established by different or additional base parameters in addition to exposure dose and defocus. These may include, but are not limited to, optical settings such as NA, sigma, aberration, polarization, or an optical constant of the resist layer. For example, as described earlier, if the process window (PW) also comprises different mask bias, then the optimization includes the

minimization of MEEF, which is defined as the ratio between the substrate EPE and the induced mask edge bias. The process window defined on focus and dose values only serve as an example in this disclosure. A method of maximizing the process window, according to an example, is described below.

[00145] In a first step, starting from a known condition (/ 0 , ¾) in the process window, wherein /o is a nominal focus and ¾ is a nominal dose, minimizing one of the cost functions below in the vicinity (/ 0 +

D/ 0 ± e): [00146] If the nominal focus/o and nominal dose are allowed to shift, they can be optimized jointly with the design variables (z 1 z 2 , ··· , z w ). In the next step, (/ 0 + D/, e 0 + e) is accepted as part of the process window, if a set of values of (z 1 z 2 , · · · , z N , f, e) can be found such that the cost function is within a preset limit.

[00147] If the focus and dose are not allowed to shift, the design variables (z 1 , z 2 , , z w ) are optimized with the focus and dose fixed at the nominal focus/o and nominal dose ¾ · In an alternative example, (/ 0 + Af, e 0 + e) is accepted as part of the process window, if a set of values of (z 1 , z 2 , ··· , z N ) can be found such that the cost function is within a preset limit.

[00148] The methods described earlier in this disclosure can be used to minimize the respective cost functions of Eqs. 7, 7’, or 7”. If the design variables represent one or more characteristics of the projection optics, such as the Zernike coefficients, then minimizing the cost functions of Eqs. 7, 7’, or 7” leads to process window maximization based on projection optics optimization, i.e., LO. If the design variables represent one or more characteristics of the illumination and patterning device in addition to those of the projection optics, then minimizing the cost function of Eqs. 7, 7’, or 7” leads to process window maximizing based on SMLO, as illustrated in Fig. 11. If the design variables represent one or more characteristics of the illumination and patterning device, then minimizing the cost functions of Eqs. 7, 7’, or 7” leads to process window maximization based on SMO. The cost functions of Eqs. 7, 7’, or 7” can also include at least one f p (z 1 , z 2 , · · · , z N ) such as described herein, that is a function of one or more stochastic variations such as the LWR, local CD variation of 2D features, and/or throughput.

[00149] Fig. 14 shows one specific example of how a simultaneous SMLO process can use a Gauss Newton Algorithm for optimization. In step S702, starting values of one or more design variables are identified. A tuning range for each variable may also be identified. In step S704, the cost function is defined using the one or more design variables. In step S706, the cost function is expanded around the starting values for all evaluation points in the design layout. In optional step S710, a full-chip simulation is executed to cover all critical patterns in a full-chip design layout. A desired lithographic response metric (such as CD or EPE) is obtained in step S714, and compared with predicted values of those quantities in step S712. In step S716, a process window is determined. Steps S718, S720, and S722 are similar to corresponding steps S514, S516 and S518, as described with respect to Fig. 13A. As mentioned before, the final output may be, for example, a wavefront aberration map in the pupil plane, optimized to produce the desired imaging performance. The final output may be, for example, an optimized illumination map and/or an optimized design layout.

[00150] Fig. 13B shows an exemplary method to optimize the cost function where the design variables (z 1; z , ··· , Z / v) include design variables that may only assume discrete values.

[00151] The method starts by defining the pixel groups of the illumination and the patterning device tiles of the patterning device (step 802). Generally, a pixel group or a patterning device tile may also be referred to as a division of a lithographic process component. In one exemplary approach, the illumination is divided into 117 pixel groups, and 94 patterning device tiles are defined for the patterning device, substantially as described above, resulting in a total of 211 divisions.

[00152] In step 804, a lithographic model is selected as the basis for lithographic simulation. A lithographic simulation produces results that are used in calculations of one or more lithographic metrics, or responses. A particular lithographic metric is defined to be the performance metric that is to be optimized (step 806). In step 808, the initial (pre-optimization) conditions for the illumination and the patterning device are set up. Initial conditions include initial states for the pixel groups of the illumination and the patterning device tiles of the patterning device such that references may be made to an initial illumination shape and an initial patterning device pattern. Initial conditions may also include mask bias, NA, and/or focus ramp range. Although steps 802, 804, 806, and 808 are depicted as sequential steps, it will be appreciated that in other examples, these steps may be performed in other sequences.

[00153] In step 810, the pixel groups and patterning device tiles are ranked. Pixel groups and patterning device tiles may be interleaved in the ranking. Various ways of ranking may be employed, including: sequentially (e.g., from pixel group 1 to pixel group 117 and from patterning device tile 1 to patterning device tile 94), randomly, according to the physical locations of the pixel groups and patterning device tiles (e.g., ranking pixel groups closer to the center of the illumination higher), and/or according to how an alteration of the pixel group or patterning device tile affects the performance metric.

[00154] Once the pixel groups and patterning device tiles are ranked, the illumination and patterning device are adjusted to improve the performance metric (step 812). In step 812, each of the pixel groups and patterning device tiles are analyzed, in order of ranking, to determine whether an alteration of the pixel group or patterning device tile will result in an improved performance metric. If it is determined that the performance metric will be improved, then the pixel group or patterning device tile is accordingly altered, and the resulting improved performance metric and modified illumination shape or modified patterning device pattern form the baseline for comparison for subsequent analyses of lower-ranked pixel groups and patterning device tiles. In other words, alterations that improve the performance metric are retained. As alterations to the states of pixel groups and patterning device tiles are made and retained, the initial illumination shape and initial patterning device pattern changes accordingly, so that a modified illumination shape and a modified patterning device pattern result from the optimization process in step 812.

[00155] In other approaches, patterning device polygon shape adjustments and pairwise polling of pixel groups and/or patterning device tiles are also performed within the optimization process of 812.

[00156] In an example, the interleaved simultaneous optimization procedure may include altering a pixel group of the illumination and if an improvement of the performance metric is found, the dose or intensity is stepped up and/or down to look for further improvement. In a further example, the stepping up and/or down of the dose or intensity may be replaced by a bias change of the patterning device pattern to look for further improvement in the simultaneous optimization procedure.

[00157] In step 814, a determination is made as to whether the performance metric has converged. The performance metric may be considered to have converged, for example, if little or no improvement to the performance metric has been witnessed in the last several iterations of steps 810 and 812. If the performance metric has not converged, then the steps of 810 and 812 are repeated in the next iteration, where the modified illumination shape and modified patterning device from the current iteration are used as the initial illumination shape and initial patterning device for the next iteration (step 816).

[00158] The optimization methods described above may be used to increase the throughput of the lithographic projection apparatus. For example, the cost function may include a / p (z 1; z 2 , > ½) that is a function of the exposure time. In an example, optimization of such a cost function is constrained or influenced by a measure of the stochastic variation or other metric. Specifically, a computer-implemented method to increase a throughput of a lithographic process may comprise optimizing a cost function that is a function of one or more stochastic variations of the lithographic process and a function of an exposure time of the substrate, in order to reduce or minimize the exposure time.

[00159] In one example, the cost function includes at least one f p (z 1 , z 2 , ··· , z N ) that is a function of one or more stochastic variations. The one or more stochastic variations may include LWR and/or local CD variation of 2D features. In one example, the one or more stochastic variations include one or more stochastic variations of one or more characteristics of an aerial image or a resist image. For example, such a stochastic variation may include line edge roughness (LER), line width roughness (LWR) and/or local critical dimension uniformity (LCDU). Including one or more stochastic variations in the cost function allows finding a value of one or more design variables that minimize the one or more stochastic variations, thereby reducing risk of defects due to stochastic variation.

[00160] Fig. 15A shows a flow chart for a method of identifying a hot spot of an aerial image or resist image based on a stochastic variation (e.g., LER) of a characteristic or on a variable (e.g., bl_ILS, ILS, or NILS) that is a function of or affects a stochastic variation, according to an example. In optional step 2510, a value of a variable (e.g., bl_ILS, ILS, or NILS) that is a function of or affects a stochastic variation (e.g., LER) for a characteristic (e.g., edge location) of an aerial image or resist image is obtained. In step 2520, a value of the stochastic variation (e.g., LER) of the characteristic is obtained (e.g., from the value of the variable). In step 2530, a range of the characteristic is obtained. The range may be due to any suitable limitation. For example, when the stochastic variation is LER, the range may be dictated by a geometry of the pattern of the design layout. For example, the maximum of the LER may not exceed the width of a gap from an edge to its neighboring edge. In step 2540, the value of the stochastic variation is compared with the range. If the stochastic variation exceeds the range, the characteristic is identified as a hot spot in step 2550. Further processing, such as optimization to reduce the stochastic variation, may be carried out for that characteristic identified as a hot spot.

[00161] Fig. 15B shows a flow chart for a method of identifying a hot spot of an aerial image or resist image based on a stochastic variation (e.g., LER) of a characteristic (e.g., edge location) of an aerial image or resist image or on a variable (e.g., bl_ILS, ILS, or NILS) that is a function of or affects the stochastic variation, according to an example. In step 2610, a range of the characteristic is obtained. In step 2620, a range of the stochastic variation (e.g., LER) or a range of the variable (e.g., bl_ILS, ILS, or NILS) is obtained based on the range of the characteristic. In step 2630, a value of the stochastic variation or a value of the variable is obtained. In step 2640, the value of the stochastic variation or the value of the variable is compared with the respective range thereof. If the value of the stochastic variation or the value of the variable exceeds the respective range thereof, the characteristic is identified as a hot spot in step 2650. Further processing, such as optimization to reduce the stochastic variation, may be carried out for that characteristic identified as a hot spot.

[00162] Fig. 16 shows a flow chart for a method of reducing a stochastic variation (e.g., LER) of one or more characteristics (e.g., edge location) of an aerial image or resist image, according to an example. In step 2710, obtain the one or more characteristics by identifying them as a hot spot from a portion of a design layout, for example, using the method of Fig. 15A or Fig. 15B. In step 2720, reducing the stochastic variation of the one or more characteristics, for example, by using a cost function that represents at least the stochastic variation or a variable (e.g., bl_ILS, ILS, or NILS) that is a function of or affects the stochastic variation. In step 2730, re-identifying a hot spot from the portion of the design layout. In step 2740, determine if a hot spot is identified. If a hot spot is identified, proceed to step 2750; if none is identified, the method ends. In step 2750, change one or more parameters of the optimization (e.g., d and/or the user-selected offset) and the method reiterates to step 2720 and perform the optimization with the changed one or more parameter. In an alternative, the one or more parameters may be part of the design layout and steps 2740 and 2750 may be eliminated.

[00163] Fig. 17 is a block diagram that illustrates a computer system 100 which can assist in implementing the optimization methods and flows disclosed herein. Computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 for processing information. Computer system 100 also includes a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 for storing information and instructions to be executed by processor 104. Main memory 106 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 104. Computer system 100 further includes a read only memory (ROM) 108 or other static storage device coupled to bus 102 for storing static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, is provided and coupled to bus 102 for storing information and instructions.

[00164] Computer system 100 may be coupled via bus 102 to a display 112, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device 114, including alphanumeric and other keys, is coupled to bus 102 for communicating information and command selections to processor 104. Another type of user input device is cursor control 116, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 104 and for controlling cursor movement on display 112. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.

[00165] According to one example, portions of the optimization process may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 106. In an alternative example, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software. [00166] The term“computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor 104 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device 110. Volatile media include dynamic memory, such as main memory 106. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.

[00167] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 100 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus 102 can receive the data carried in the infrared signal and place the data on bus 102. Bus 102 carries the data to main memory 106, from which processor 104 retrieves and executes the instructions. The instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.

[00168] Computer system 100 may also include a communication interface 118 coupled to bus 102. Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a local network 122. For example, communication interface 118 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 118 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.

[00169] Network link 120 typically provides data communication through one or more networks to other data devices. For example, network link 120 may provide a connection through local network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126. ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the“Internet” 128. Local network 122 and Internet 128 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are exemplary forms of carrier waves transporting the information.

[00170] Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120, and communication interface 118. In the Internet example, a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, local network 122 and communication interface 118. One such downloaded application may provide for the illumination optimization of the example, for example. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.

[00171] Fig. 18 schematically depicts an exemplary lithographic projection apparatus whose illumination could be optimized utilizing the methods described herein. The apparatus comprises:

- an illumination system IL, to condition a beam B of radiation. In this particular case, the illumination system also comprises a radiation source SO;

- a first object table (e.g., patterning device table) MT provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS;

- a second object table (substrate table) WT provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS;

- a projection system (“lens”) PS (e.g., a refractive, catoptric or catadioptric optical system) to image an irradiated portion of the patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.

[00172] As depicted herein, the apparatus is of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device). The apparatus may employ a different kind of patterning device to classic mask; examples include a programmable mirror array or LCD matrix.

[00173] The source SO (e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander Ex, for example. The illuminator IL may comprise adjusting means AD for setting the outer and/or inner radial extent

(commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.

[00174] It should be noted with regard to Fig. 18 that the source SO may be within the housing of the lithographic projection apparatus (as is often the case when the source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors); this latter scenario is often the case when the source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing).

[00175] The beam PB subsequently intercepts the patterning device MA, which is held on a patterning device table MT. Having traversed the patterning device MA, the beam B passes through the lens PL, which focuses the beam B onto a target portion C of the substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning means can be used to accurately position the patterning device MA with respect to the path of the beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in Fig. 18. However, in the case of a stepper (as opposed to a step-and-scan tool) the patterning device table MT may just be connected to a short stroke actuator, or may be fixed.

[00176] The depicted tool can be used in two different modes:

- In step mode, the patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one go (i.e., a single“flash”) onto a target portion C. The substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB;

- In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single“flash”. Instead, the patterning device table MT is movable in a given direction (the so-called“scan direction”, e.g., the y direction) with a speed v, so that the projection beam B is caused to scan over a patterning device image; concurrently, the substrate table WT is simultaneously moved in the same or opposite direction at a speed V = Mv, in which M is the magnification of the lens PL (typically, M = 1/4 or 1/5). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution.

[00177] Fig. 19 schematically depicts another exemplary lithographic projection apparatus 1000 whose illumination could be optimized utilizing the methods described herein.

[00178] The lithographic projection apparatus 1000 comprises: [00179] - a source collector module SO

[00180] - an illumination system (illuminator) IL configured to condition a radiation beam B (e.g.

EUV radiation).

[00181] - a support structure (e.g. a patterning device table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;

[00182] - a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and

[00183] - a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.

[00184] As here depicted, the apparatus 1000 is of a reflective type (e.g. employing a reflective patterning device). It is to be noted that because most materials are absorptive within the EUV

wavelength range, the patterning device may have multilayer reflectors comprising, for example, a multi stack of Molybdenum and Silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography. Since most material is absorptive at EUV and x- ray wavelengths, a thin piece of patterned absorbing material on the patterning device topography (e.g., a TaN absorber on top of the multi-layer reflector) defines where features would print (positive resist) or not print (negative resist).

[00185] Referring to Fig. 19, the illuminator IL receives an extreme ultra violet radiation beam from the source collector module SO. Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system including a laser, not shown in Fig. 19, for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.

[00186] In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.

[00187] The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as s- outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.

[00188] The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.

[00189] The depicted apparatus 1000 could be used in at least one of the following modes:

[00190] 1. In step mode, the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.

[00191] 2. In scan mode, the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the

(de-)magnification and image reversal characteristics of the projection system PS.

[00192] 3. In another mode, the support structure (e.g. patterning device table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.

[00193] Fig. 20 shows the apparatus 1000 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO. An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an example, a plasma of excited tin (Sn) is provided to produce EUV radiation.

[00194] The radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211. The contaminant trap 230 may include a channel structure. Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.

[00195] The collector chamber 211 may include a radiation collector CO which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line O’. The virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210.

[00196] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 21 at the patterning device MA, held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the substrate table WT.

[00197] More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Fig. 20.

[00198] Collector optic CO, as illustrated in Fig. 20, is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.

[00199] Alternatively, the source collector module SO may be part of an LPP radiation system as shown in Fig. 21. A laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220.

[00200] U.S. Patent Application Publication No. US 2013-0179847 is hereby incorporated by reference in its entirety.

[00201] The concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths. Emerging technologies already in use include EUV (extreme ultra violet), DUV lithography that is capable of producing a 193 nm wavelength with the use of an ArF laser, and even a 157 nm wavelength with the use of a Fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 20-5 nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.

[00202] While the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shah be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers.

[00203] The above -described techniques have been described for the specific application of improving the specific lithographic process of imaging a portion of a design layout onto a substrate using a lithographic apparatus.

[00204] Embodiments generally provide techniques that use image -related metrics to improve any of the manufacture, testing, measurement and other processes of semiconductor structures on a substrate. In particular, a new image -related metric is generated. The new image -related metric is referred to throughout the present document as overlay margin. Overlay margin provides an indication of the tolerance against overlay errors in features that are being manufactured.

[00205] Embodiments also provide techniques for improving the determination of control parameters in any of the processes performed during the manufacture, testing, measurement and other processes that may be performed with respect to a device (e.g., a semiconductor structure) on a substrate, including in dependence on the overlay margin.

[00206] Overlay margin may be determined from a plurality of images of different layers and parts of a substrate. Each image may be obtained by an imaging device, such as an e-beam based metrology apparatus or any type of scanning electron microscope. An e-beam apparatus (for example manufactured by HMI) may have a 10 pm by 10 pm field of view.

[00207] The processes that may be improved by the techniques of embodiments include any of: a lithographic process, a scanning process, a priming process, a resist coating process, a soft baking process, a post-exposure baking process, a development process, a hard baking process, a

measurement/inspection process, an etching process, an ion-implantation process, a metallization process, an oxidation process and/or a chemo-mechanical polishing process. The overlay margin (as an example) may be used to determine one or more control parameters for any of these processes as well as any combination selected from these processes.

[00208] Embodiments may include performing both computational metrology and control processes. The computational processes comprise obtaining one or more images of parts of a substrate on each of a plurality of layers of the substrate. Each obtained image comprises features comprised by a structure that is being manufactured on the substrate. An overlay margin is calculated in dependence on one or more properties of the features, such as contours of the features. One or more control parameters for controlling one or more processes in the manufacturing and/or other process of the features can then then determined in dependence on the overlay margin.

[00209] Fig. 24 shows an image of a feature on part of a substrate. The image may represent, for example, a 10 pm by 10 pm area on the substrate. The thick line in the image is a target contour of one of the features. The thin line in the image is the actual outline of the manufactured feature. Although the ideal shape of the feature may be a rectangle, the target contour is curved/rounded since this is the closest possible shape to a rectangle that can be manufactured and therefore the best contour that can actually be achieved. The ideal shape may alternatively be used as the target shape.

[00210] Fig. 25 shows a plurality of stacked images. The images that have been stacked may have each been obtained from one or more corresponding images of the same feature in different layers of a substrate and/or images of a plurality of features on the same layer of a substrate. The images may additionally, or alternatively, be of features on a plurality of different substrates and/or images of the same feature on the same layer of the substrate but taken by different imaging devices. [00211] When stacking the images, an alignment process has been performed. The alignment process may be based on aligning the images in dependence on one or more reference positions in, or superimposed onto, each of the images so that there is no overlay error between the images. For example, the alignment process may comprise aligning the target designs of the features in the images so that there is no overlay error between the target designs. The alignment process may be based on aligning the images in dependence on GDS/GDSII data. The effect of performing the alignment process is to remove the effects of any overlay error between the different images.

[00212] The overlay margin is a measure of the stochastic variation of features in the stack of aligned images. The overlay margin may be calculated in dependence on the differences between the contours of corresponding features in the aligned versions of the images. The overlay margin may also be calculated in dependence on the target contours for the features. For example, for each of the images, the overlay margin may be calculated in dependence on a comparison of the feature in the image with the target of the feature. The differences between the contours of features in an image and the contours of features in other images, as well as target contours for the features, can be determined by a plurality of well-known specific image -related metrics, such as critical dimension uniformity (CDU), line width roughness (LWR), critical dimension amplitude and/or placement errors.

[00213] Overlay margin is related to the known image-metric edge placement error (EPE). EPE is an image-metric that provides an overall representation of the differences between the contours of one or more images of features and a target contours for the features. EPE includes the overlay error between the images of features and the target contours for the features.

[00214] Overlay margin differs from EPE in that it does not include the overlay error between images of the feature because the overlay error is removed by the above-described alignment process.

[00215] A way of determining overlay margin is shown in Equation 8.

Overlay Margin = EPE - Overlay Error (Eq. 8)

[00216] Accordingly, the overlay margin may be calculated by calculating the EPE and the overlay error. The overlay error may be calculated in dependence on the alignments performed on the images. The overlay margin may then be calculated by subtracting the overlay error from a calculation of the EPE.

[00217] It should be noted that the overlay error in Eqn. 8 may be calculated as a combination of an actual overlay amount and a design specification. This is because a failure condition may occur when it is desired for there to be an overlap between features in different layers of a structure but, even though an overlap occurs, a required area of overlap is not achieved. Similarly, a failure condition may occur when it is desired for there to be a separation of features in different layers of a structure but, even though the features are separated, a required amount of separation is not achieved. The design specification includes the required area of overlap of features and/or the required amount of separation of features. It is therefore appropriate to calculate the overlay error in dependence on a combination of the actual overlay amount and the design specification.

[00218] The overlay margin may alternatively be determined in dependence on combination of contributions to the overlay margin in the aligned images. This is shown in an equation below for overlay margin:

(Eq. 9) wherein HR 0P is dependent on an error caused by optical proximity correction, s RBA is dependent on an error caused by proximity bias average (PBA), a LWR is dependent on an error caused by line width roughness, and o CDU is dependent on an error caused by critical dimension uniformity.

[00219] In Eqn. 9, the contributions to determined overlay margin are OPC, PBA, LWR and CDU. Embodiments include alternative constructions of equations of determining the overlay margin that include one or more further contributions to the overlay margin and/or do not include one or more of the contributions to the overlay margin included in Eqn. 9. The overlay margin may be calculated in dependence on all of the contributions to an EPE calculation apart from the overlay error.

[00220] Each of the images is typically of only a small part of the substrate. For example, each image may represent a 10 pm by 10 pm area on the substrate. An overlay margin may calculated in dependence on a plurality of images of different layers of the same part of the substrate. This is the local overlay margin for that part of the substrate.

[00221] A plurality of local overlay margins may be calculated for each of a plurality of different parts of the substrate with each of the local overlay margins being calculated in dependence on a plurality of images of different layers of the same part of the substrate. The local overlay margins may be obtained either at all locations on the substrate or at only some of locations on the substrate. When the local overlay margins are obtained at only some of locations on the substrate, the locations may be selected so as to provide a fingerprint of the substrate.

[00222] Each image may additionally, or alternatively, be considered as comprising a plurality of sections. Local overlay margins may be calculated for each of the sections of an image such that there are a plurality of local overlay margins for each image. [00223] The overlay margin of a substrate may comprise a plurality of local overlay margins with each of the local overlay margins being calculated in dependence on images of a different part of a substrate and/or sections of the images.

[00224] An overlapping overlay margin may be defined as the minimum overlay margin of features within an image and/or section of an image.

[00225] The overlay margin may be represented as an overlay margin map that shows the local variations of the overlay margin across a substrate.

[00226] The overlay margin may alternatively be represented as an overlapping overlay margin map that shows the local variations of the overlapping overlay margin across a substrate.

[00227] A global overlay margin may be calculated that is an average of the local overlay margins and /or overlapping overlay margins of the substrate.

[00228] The overlay margin, and representations of the overlay margin, may be calculated for each of a plurality of values of each parameter that may contribute to the overlay margin. The dependence of the overlay margin on each parameter may be calculated, or inferred, from the overlay margins calculated for the values of the parameter. The dependence of the overlay margin on a plurality of parameters may also be determined.

[00229] For example, an overlapping overlay margin map may be generated that shows the variation of the overlapping overlay margin across the surface of a substrate between two or more layers of the substrate. The overlapping overlay margin map may be determined as a function of critical dimension (CD). A multi-dimensional metric is therefore generated that can be used for overlay and CD co optimization.

[00230] The parameters that may contribute to the overlay margin may include focus, dose, illumination pupil shape (e.g. ellipticity), optical aberration (e.g. coma, spherical, astigmatism), etch rate, overlay, contrast, critical dimension, chuck temperature, gas flow and/or RF power distribution. The dependence of the overlay margin on one or more of these parameters may be determined.

[00231] The yield of a manufacturing process is dependent on the occurrence of manufacturing errors. Manufacturing errors can occur when a desired area of overlap between features in different layers of a structure does not occur. Manufacturing errors also can occur when a minimum desired separation of features in different layers of a structure is not achieved. EPE is a measure of the positional variation of features and contours of the features and can be used to determine an expected yield of correctly manufactured structures and/or the probability of the structures being incorrectly manufactured. Due to the relationship between overlay margin and EPE, as shown in Eqn. 8, overlay margin may be used to determine an allowable amount of overlay error in order to achieve the expected yield of correctly manufactured structures and/or the probability of the structures being incorrectly manufactured. [00232] The overlay error is dependent on a number of controllable parameters. The one or more values, and possible one or more ranges of values, of one or more parameters that influence the overlay error may be therefore determined in dependence on the overlay margin so that the overlay error is within a range that is expected to achieve an expected yield. The expected yield may be the desired yield according to a manufacturing specification.

[00233] Embodiments include determining one or more parameters for controlling the manufacturing, inspection and/or testing processes of structures on a substrate in dependence on the overlay margin. One or more of the parameters that may be controlled in dependence on the overlay margin include: focus, dose, illumination pupil shape (e.g. ellipticity), optical aberration (e.g. coma, spherical, astigmatism), etch rate, overlay, contrast, critical dimension, chuck temperature, gas flow and/or RF power distribution. The processes that are controlled by the one or more parameters may be a lithographic process, a priming process, a resist coating process, a soft baking process, a post-exposure baking process, a development process, a hard baking process, a measurement/inspection process, an etching process, an ion- implantation process, a metallization process, an oxidation process and/or a chemo-mechanical polishing process.

[00234] The permissible level of EPE is dependent on the manufacturing specification. The manufacturing specification may be dependent on one or more selected from: a desired yield, a maximum probability of the features being incorrectly manufactured, a determined maximum allowable magnitude of an EPE, a determined maximum allowable overlay error, and/or a desired yield of devices.

[00235] As described above, the EPE is dependent on the overlay margin and the overlay error.

Accordingly, the overlay margin allows the restraints on the overlay error to be determined so that the EPE is at a particular level. The dependence of the overlay error on each parameter may be determined. The one or more values, and/or one or more ranges of values, for each of the one or more parameters may therefore be determined in dependence on the overlay margin.

[00236] A process parameter may be determined in dependence on one or more selected from: an overlay margin map, one or more local overlay margins and/or a global overlay margin.

[00237] Parameters that affect the overlay error may be co-determined such that the applied value of one of the control parameters is dependent on an applied value of another of the control parameters. The co-determination of at least two of the control parameters may be dependent on the combined effect of the at least two control parameters and/or the interdependence of the at the least two control parameters. By co-determining control parameters, the combined effects of control parameters, and/or the

interdependence of effects of control parameters, can be used to advantageously improve the

determination of control parameters for improving yield, or optimizing with respect to any other goal.

[00238] One or more restraints on the rate of change and/or range of values one or more control parameters during a process may be determined. For example, during the manufacture of a device, there may be a limit on the extent that the focus may change between two different locations on a substrate due to the rate at which focus can be changed and the movement speed. Embodiments include using the determined one or more restraints of the one or more control parameters to perform an optimization process on the one or more control parameters given the permissible overlay error. For example, given the one or more restraints on the value of a parameter that may be applied, a parameter may be set at a level that results in an increased contribution to the overlay error. This may be made possible, with the total overlay error remaining within an acceptable range, by controlling another parameter to reduce its contribution to the overlay error.

[00239] The overlay error may be dependent on at least one of the co-determined control parameters and the dimensions of features manufactured on a device may be dependent on at least one other one of the co-determined control parameters.

[00240] At least one of the co-determined control parameters may include focus, dose, illumination pupil shape, optical aberration, etch rate, overlay, contrast, critical dimension, chuck temperature, gas flow and/or RF power distribution.

[00241] As described above, the relationship between the overlay margin and one or more applied parameters may be determined. The one or more applied values, and applicable one or more ranges of values, of one or more parameters may be determined in dependence on how the one or more parameters affect the overlay margin.

[00242] The determination of the one or more applied values and applicable one or more ranges may be made in dependence on the effect of the one or more parameters on both the overlay margin and the overlay error.

[00243] The co-determination of the applied values and applicable ranges for a plurality of parameters may be made in dependence on the effect of the plurality of parameters on both the overlay margin and the overlay error.

[00244] For example, one or more parameters may be determined so as to minimize the overlay margin so as to reduce one or more restraints on the overlay error. This may allow one or more other parameters to be set at a value that increases the contribution of those one or more other parameters to the overlay error. In particular, an overlapping overlay margin map may be determined as a function of critical dimension (CD). This may then be used for overlay and CD co-optimization.

[00245] Fig. 26 is a flowchart of a process for determining an image-metric of features on a substrate according to an embodiment.

[00246] In step 2601, the process begins.

[00247] In step 2603, a first image of a plurality of features on a substrate is obtained. [00248] In step 2605, one or more further images are obtained of a corresponding plurality of features on the substrate, wherein at least one of the one or more further images is of a different layer of the substrate than the first image.

[00249] In step 2607, aligned versions of the first and one or more further images are generated by performing an alignment process on the first and one or more further images, wherein the alignment process substantially removes the effect of any overlay error between the features in the first image and the corresponding features in each of the one or more further images.

[00250] In step 2609, an image-metric is calculated in dependence on a comparison of the features in the aligned version of the first image and the corresponding features in the aligned versions of the one or more further images.

[00251] In step 2611, the process ends.

[00252] Embodiments include a number of modifications and variations to known processes.

[00253] Embodiments also include the above-described techniques being applied with an alternative definition of overlay margin. For example, the overlay margin may alternatively be defined as:

Overlay Margin = EPE - (all errors except overlay errors) (Eq. 10)

[00254] The determination of overlay margin in Eq.10 may be in dependence on a combination of the contributions to the overlay margin. This is shown in Eq. 11 below:

[00255] Any of the techniques described throughout the present document can be used to determine and optimize image -related metrics of embodiments.

[00256] Embodiments determine one or more control parameters for controlling one or more processes in the manufacture of a device. The processes include any process, including a measurement process, and can be performed by any known apparatus. One or more processes according to embodiments can be controlled by a computing system executing instructions for performing the one or more processes and that are stored on a non-transitory computer readable medium.

[00257] The system according to embodiments may comprise a computing system and an electron beam apparatus, wherein the electron beam apparatus is arranged to obtain images of one or more substrates. The system may comprise a lithographic apparatus and/or a metrology apparatus.

[00258] The yield of a manufacturing process (such as a semiconductor manufacturing process) depends on the amount of defects that are present on the end product. Defects may be caused by, e.g., features not being correctly transferred (printed) to, for example, a resist layer on the substrate. Features may be missing or placed and/or dimensioned incorrectly such that neighboring features may merge.

Some examples of types of defects that may occur are shown in Figs. 27A to 27F.

[00259] Figs. 27A to 27D show defects that may be identified within a single layer. The type of defect shown in Fig. 27A is when the feature for a target design of the feature is entirely missing. The type of defect shown in Fig. 27B is when a feature is formed for a target design but the feature is formed in the incorrect position and does not overlap with the target design. The type of defect shown in Fig. 27C is when a plurality of features are formed for a target design of a single feature. The type of defect shown in Fig. 27D is when two neighboring formed features overlap with each other and have merged.

[00260] Figs. 27E and 27F show defects that may be identified across two layers. In Fig. 27E, a defect is caused by a feature that has been formed in one layer not overlapping as required with a design target in another layer. In Fig. 27F, a defect has been caused by a feature that has been formed in one layer overlapping with a design target in another layer when no overlap was intended.

[00261] It is known, with optical lithography, that the CD of features is dependent on the applied dose and/or focus. This is the basis for Bossung curve analysis. A target CD is therefore associated with a process window of focus and dose values for achieving the target CD.

[00262] It is known for a process window for focus and dose values to be determined by measuring the CD of the features of interest at a plurality of different focus and exposure conditions, referred to as a focus exposure matrix (FEM) process. The CD of the features may be measured by a metrology tool. The CD value that is used may be the mean CD (m) and determined by averaging the individual measurement values of CD so as to form a mean CD process window.

[00263] The focus exposure matrix conditions may be unique per exposed die and so a sampling of the features of interest within each die are chosen. The density of the sampling can be optimized in dependence on the time required by metrology tool to take the measurements. For an accurate determination of the mean CD the sampling does not need to be extensive.

[00264] The center of a mean CD process window represents the dose and focus conditions that provide the least mean CD variation due to fluctuations in the dose or focus. It is known for the focus and dose values that provide the center of the mean CD process window to be the focus and dose values used in a lithography process.

[00265] In addition to determining the mean CD (m), statistical methods on the variation of the CD of the features obtained by the metrology tool can be used to determine the standard deviation (s), variance (s 2 ), skewness (g) and kurtosis (K).

[00266] During an optical lithography process, using a specific focus condition and a specific dose condition, metrology sampling within each die (intra-field), die to die (inter-field), substrate to substrate, and lot to lot, may generate measured data of one or more dimensions of features and the measured data may be analyzed. A CD uniformity may be determined, that is related to the standard deviation or variance of the measurements of one or more dimensions of the features, and can be used to monitor and/or control the production process. The standard deviation of the measurements may be used as the CD uniformity. However, the variance of the measurements may alternatively be used as the CD uniformity.

[00267] In CD-SEM metrology, a CD-SEM tool obtains data across an area of a substrate. The area that data can be obtained from is the field of view of the CD-SEM tool. The field of view may be 10 pm by 10 pm (or larger). The CD-SEM tool may be an e-beam apparatus. The tool used to obtain the data may be any suitable type of SEM, such as a SEM manufactured by HMI.

[00268] Fig. 28 shows examples of measured data, obtained by a CD-SEM tool, of dense contact hole arrays. From the CD-SEM measurement data, dimensional data, such as the CDs of contact holes, across a region, such as a field of view of the CD-SEM tool, can be obtained and an analysis of defects can be performed. Within a field of view, for each of a plurality of occurrences of a feature of interest, one or more properties of the feature can be measured. The measurements can be used to determine the mean CD of each field of view within a die and also, by averaging the mean CD of each field of view within the die, the overall mean CD of that die. The measurements can also be used to determine the within field of view CD uniformity and within die CD uniformity. For example, the local CD uniformity (LCDU) may be the standard deviation of the CD measurements within a single CD-SEM field of view. The magnitude of the local CD uniformity may be substantially the same from location to location, die to die, substrate to substrate, and lot to lot.

[00269] Current state of the art lithography processes may achieve below 1 nm CD uniformity when comparing mean CD values within a die, die to die and between substrates. However, the local CD uniformity performance for critical layers may exceed 1 nm.

[00270] It has been realized that the properties of a statistical parameter that is at least partially dependent on the CD variation, such as the local CD uniformity, the within die uniformity, the die to die uniformity and/or observed printed defects, can be used to improve the process control. More particularly, the occurrence of defects, and thereby the yield of a manufacturing process, depends on a statistical parameter that describes the CD variation. By further determining the process window in dependence on a statistical parameter that is dependent on the CD variation, an improved process window may be determined over a process window that is only dependent on the mean CD.

[00271] The measurement data used to obtain the statistical parameter that includes the CD variation may be obtained from an after development inspection of features formed within a resist applied to the substrate and/or from an after etch inspection of features formed within a layer applied to the substrate.

[00272] From the measurement data it is possible to extract both dimensional data and defect data, wherein the defects may include one or more missing features or the merging of at least two features. The measurement data may comprise data associated with one or more dimensions of any feature of interest, such as contact holes, lines and spaces and/or more complicated two-dimensional product features. Typically the measurement data comprises product feature data obtained by an electron-beam metrology tool, such as a CD-SEM or a large field of view electron-beam tool. The metrology tool may be capable of measuring variation of one or more dimensions of the features at a per feature basis in order for LCDU metrics to be determined.

[00273] The statistical parameter may be based on measurement data comprising dimensional and defect data of features across multiple layers of a substrate. For example, the measurement data may comprise dimensional data of features comprised within at least two layers on the substrate and the determined defects, may be the type of defect shown in Fig. 27E and/or 27F, associated with defects occurring across the at least two layers.

[00274] Embodiments provide a new indicator for predicting the probability of defects based on obtained measurements of features, such as CD-SEM metrology measurements. Embodiments use image analysis techniques on measured data of features on a substrate, such as that shown in Fig. 28, to determine if defects have occurred. Examples of the types of defects that may be detected are shown in Fig. 27. A defect may be, for example, a missing contact hole or contact holes that have merged. Missing contact holes may be caused by the CD being too small. Merging contact holes may be caused by the CD being too large. The contact holes have become so wide that the resist wall between them collapses and two separate contact holes merge to become one large contact hole.

[00275] The number of features of interest and the defects of features of interest in a field of view may be counted. The proportion of defects may be determined as the ratio of the number of defective features of interest to the total number of features of interest. A defect probability may be determined that is the same as, or based on, the proportion of defects in one or more fields of view. For example, the defect probability may be determined as the average of all of the determined proportion of defects for a plurality of fields of view. A defect probability may be determined only for defects in features of interest or for all features.

[00276] Fig. 29 shows the relationship between the determined defect probability of features and the mean CD of features. All of the points in Fig. 29 have been generated by performing a FEM process. A series of exposures were performed for a dense contact hole array, such as shown in Fig. 28, for a plurality of focus and dose conditions. For each focus and dose condition, both the mean CD and the defect probability were determined. [00277] The defect probability values shown in Fig. 29 fall into three groups. A first group of the defect probability values shown in Fig. 29 has a line of best fit with a negative gradient. The first group of defect probability values indicates that defect probability increases for mean CDs below a threshold value of about 22 nm. A second group of the defect probability values shown in Fig. 29 has a line of best fit with a positive gradient. The second group of defect probability values indicates that defect probability increases for mean CDs above the threshold value. A third group of the defect probability values shown in Fig. 29 have a line of best fit that is the substantially horizontal line at the bottom of Fig. 29. The third group of the defect probability values indicate that when the mean CD is in the range between 15 nm and 25 nm, the defect probability is very low.

[00278] Although the first group and second group of defect probability values are consistent with each other and identify a threshold value of the mean CD that has the lowest defect probability, the first and second groups of defect probability values are inconsistent with the third group of defect probability values that indicate that a low defect probability can be achieved when the mean CD is instead anywhere within a range. The mean CD on its own is therefore an unreliable predictor of an appropriate value of mean CD for achieving a particular defect probability.

[00279] Embodiments include generating a statistical parameter for predicting the defect probability. The statistical parameter is referred to herein as a Tail CD. The Tail CD may be determined in dependence on both the mean CD as well as the statistical variation of the CD, referred to herein as the CD variation. The CD variation may be dependent on the above-described CD uniformity. The CD variation may therefore be determined in dependence on the LCDU of features of interest in one or more fields of view. For example, the CD variation may be dependent on the average of the LCDU values for all of the fields of view. In particular, the CD variation may be determined to be a multiple of the average of the LCDU values for all of the fields of view and, for each field of view, the LCDU value may be determined to be the standard deviation of the CD values of features in the field of view. The multiple may be three.

[00280] A first way of calculating the Tail CD may be as the mean CD minus the CD variation. A second way of calculating the Tail CD may be as the mean CD plus the CD variation. The Tail CD may be calculated according to the first way when the mean CD, and/or CD variation, is below a threshold value and the Tail CD may be calculated according to the second way when the mean CD, and/or CD variation, is at, or above, the threshold value. The threshold value may be, for example, determined in dependence on a calculation of a cumulative probability of defect occurrence on either side of the threshold value. For example, the threshold value may correspond to an equal cumulative defect probability for a mean CD, and/or CD variation, smaller than the threshold value and a mean CD, and/or CD variation, at or larger than the threshold value. [00281] Fig. 30 shows the relationship between the defect probability and Tail CD. The graph in Fig. 30 may be referred to as a defect probability relationship. The Tail CD values shown in Fig. 30 have been obtained by performing a FEM process with a large number of focus and dose conditions. At each focus and dose condition, the properties of approximately 6500 contact holes were measured and used to determine the mean CD and LCDU values. The proportion of defects was also determined and used to determine the defect probability.

[00282] The Tail CD has been calculated as described above. That is to say, the CD variation is determined to be three times the average of the LCDU values for all of the fields of view and, for each field of view, the LCDU value is the standard deviation of the CD values of features in the field of view.

[00283] The results in Fig. 30 indicate that there is a central range of Tail CD values for which the defect probability is low. The defect probability increases when the Tail CD is lower than the lower extreme of the range and increases when the Tail CD is larger than the upper limit of the range. The central range of Tail CD values therefore indicates Tail CDs for which the defect probability is low. Unlike the values shown in the Fig. 29, the values shown in Fig. 30 fall into groups that are consistent with each other. The Tail CD is therefore a more reliable indicator of the defect probability than the mean CD.

[00284] A process window may be determined in dependence on the central range of Tail CD values. The determined process window should be wide enough to tolerate all sources of CD non-uniformity combined in order to achieve acceptable yield at the defect probability level corresponding to the process window. The defect probability level may be required to be le-7 or lower.

[00285] The graph shown in Fig. 30 is a statistical distribution. Embodiments include determining the correlation relationships within the statistical distribution. The correlation relationships can be used to extrapolate the results and to assist the making of deductions in dependence on the statistical distribution.

[00286] The tails of the statistical distribution can be characterized by the moments of the distribution. For a pure Gaussian distribution, the mean and the variance, the first and second central moments respectively, are all that is necessary to describe the distribution. The statistical distribution shown in Fig. 30 is not a pure Gaussian distribution because it is skewed. That is to say, the values of the Tail CD to the left of the central range have a line of best fit with a different gradient from a line of best fit of the values of the Tail CD to the right of the central range. A third central moment, skewness (g), and a fourth central moment, kurtosis (K), can be defined to describe the distribution shown in Fig. 30.

[00287] A left tail correlator yL may be determined for the values of the Tail CD lower than values of the Tail CD in the central range. This is for Tail CDs that are calculated as the difference between the mean CD and the CD variation. A right tail correlator yR may be determined for the values of the Tail CD larger than values of the Tail CD in the central range. This is for Tail CDs that are calculated as the sum of the mean CD and the CD variation. Each value of yL and %R may be separately determined in dependence on the standard deviation, skewness and kurtosis of the statistical distribution of the Tail CD values. When %L,R = (s+g)ck; yL.R are the tail correlators of a Skew-Normal approximation.

[00288] The tail correlators may be used to characterize the Tail CD statistical distribution.

Embodiments include using the tail correlators to determine one or more formulae for describing each of the tails of the statistical distribution. The formulae can be used to extrapolate each of the tails. The extrapolation allows defect probabilities outside of the range provided by the results in the statistical distribution to be estimated.

[00289] Fig. 31 shows a line of the correlation relationship of Tail CD values that are lower than values of the Tail CD in the central range of the statistical distribution. The line of the correlation relationship has been extrapolated. The extrapolation allows any defect probability to be estimated. As shown in Fig. 31 and for the particular data that underlies Fig. 31, the extrapolation allows the determination that a Tail CD that is equal to, or larger than, 19 nm is required for providing a defect probability of le-6. Due to the large number of measurements required to measure a low defect probability, it may only be possible to determine the Tail CD for providing a low defect probability by using such an extrapolation of the values in the Tail CD.

[00290] Fig. 32 shows the line of the correlation relationship for values that are larger than values of the Tail CD in the central range of the statistical distribution as well as the line of the correlation relationship for values that are lower than values of the Tail CD in the central range. The point where the lines of each correlation relationship intersect each other indicates the Tail CD for providing the minimum achievable defect probability. This value of the Tail CD may be selected as a target Tail CD and the process window of focus and dose values may be determined as appropriate focus and dose values for providing the target Tail CD.

[00291] Alternatively, a target range of Tail CD values over which the required defect probability is at, or below, a particular level may be determined. The upper and lower limits of the target range may be determined as the Tail CD values of each of the lines of the correlation relationships at the required defect probability. The process window of focus and dose values may be determined as focus and dose values for providing Tail CDs within the target range. The center of the process window may be determined as the focus and dose values that provide the Tail CD at the mid-point of the target range of Tail CD values.

[00292] The results in Fig. 32 demonstrate that by determining a smaller and re-centered process window according to the techniques according to embodiments, the number of defects may be reduced by a factor of three.

[00293] Fig. 33 shows how a target value of Tail CD, or target range of Tail CDs, that correspond to achieving a desired defect probability can be used to determine the process windows of the focus and dose values. For each focus value and dose value, a Tail CD may either be directly calculated from measured data, inferred from extrapolations of the available data or estimated using other techniques. The process windows for the focus and dose can be determined as the focus and dose values that together provide the target Tail CD, or a Tail CD within the target range of Tail CDs. By selecting the target focus value to be in the center of the focus process window, and the target dose value to be in the center of the dose process window, the probability of the number of defects increasing due to fluctuations in the actual applied focus and dose values from their target values is reduced.

[00294] Although embodiments have been described with reference to determining focus and dose values, the techniques of embodiments may also be used to determine any of: an etch tool setting, a deposition tool setting, a resist development setting, a laser bandwidth, an optical aberration and/or a dynamic parameter of a lithographic apparatus (such as a process setting in a mechatronic system).

[00295] Fig. 34 shows example statistical distributions of Tail CD that have been generated according to the techniques according to embodiments. One of the distributions was generated using resist A that had a nominal required dose of approximately 55 mJ/cm 2 . The other distribution was generated using resist B that had a nominal required dose of approximately 24 mJ/cm 2 . A comparison of the Tail CDs indicates that resist B has a larger CD variation and smaller process window than resist A. The characterization of a process according to embodiments may therefore be used to aid the selection of the photoresist used in the process of manufacturing features.

[00296] Embodiments include calculating the Tail CD in alternative ways. For example, embodiments include the Tail CD being calculated based on cumulative probabilities.

[00297] In some applications, it may be that one type of defect is more serious than another. For example, merging contact holes may be a defect that is completely unacceptable but missing contact holes may be a defect that is tolerable. The merging contact holes defect may be caused by a CD that is too large whereas the missing contact holes defect may be caused by a CD that is too small. The different types of defect are therefore caused by opposite extremes of the CD.

[00298] Embodiments include determining a process window, in dependence on a determined correlation relationship between defect probability and Tail CD, with the process window biased towards reducing the probability of the unacceptable defect occurring.

[00299] The process window may be determined in dependence on only the line of the correlation relationship for the unacceptable defect. Such a process window would provide a defect probability for the unacceptable defect that is below a required value, even though the process window may increase the number of tolerable defects that occur.

[00300] Embodiments also include a process window being determined based on one or more additional criteria. For example, a dose value may be determined that both provides a Tail CD associated with an acceptable defect probability and is also low enough to allow a lithography apparatus to meet a certain productivity requirement, such as throughput.

[00301] Embodiments include a preferred process setting being a minimum dose setting for which the probability of occurrence of defects meets the manufacturing requirements. Further control of the dose may be performed only at locations on a substrate that are associated with a Tail CD for which the predicted defect probability exceeds a certain value. Focus control may be based on a similar principle. Known feature characteristics, for example using layout information, can be used to predict which focus deviations would give rise to an unacceptable increase in defect probability. Further focus control can be performed at locations on the substrate associated with the unacceptable increase in defect probability.

[00302] Embodiments also include using one or more known process settings applied to substrates, such as focus and dose maps, for targeting the defect inspection. Only the substrates, or the parts of a substrate, may be inspected that have Tail CDs that are associated with an increased risk of defect occurrences. Alternatively, or additionally, features may be selected for inspection based on their feature specific defect based process window. That is to say, features with a narrow range of Tail CDs for which the defect probability meets a process limit may be selected for defect inspection, while features that are more robust to defect occurrences are not inspected. This may result in a substantial decrease in the metrology measurement time.

[00303] Fig. 35 shows a method of determining a characteristic of one or more processes for manufacturing features on a substrate according to an embodiment.

[00304] In step 3501, the process starts.

[00305] In step 3503, image data of a plurality of features on a least part of at least one region on a substrate is obtained.

[00306] In step 3505, the image data is used to obtain measured data of one or more dimensions of each of at least some of the plurality of features.

[00307] In step 3507, an overall statistical parameter is determined that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features.

[00308] In step 3509, a probability of defective manufacture of features is determined in dependence on a determined number of defective features in the image data.

[00309] In step 3511, the characteristic of the one or more processes is determined to comprise the probability of defective manufacture of features and the overall statistical parameter.

[00310] In step 3513, the process ends.

[00311] As depicted in Figure 33, a target value of Tail CD, or target range of Tail CDs, that corresponds to achieving a desired defect probability can be used to determine the process windows of the focus and dose values. It may be the case that for each focus and/or dose value a large number of CD values are available (for example due to the availability of massive metrology using large field of view (FOV) e-beam tooling). Instead of defining the process window based on a mean CD, Tail CD or other derived metric it is proposed to derive a probability of the CD associated with a certain focus and/or dose value being within a pre-defined range. The pre-defined range may be for example associated with a CD range for which the device comprising the feature for which the CD is measured will function within its one or more specification limits (e.g. the device will yield). The probability may be expressed as a percentage of probability of the CD being compliant with a certain pre-defined range. The resulting process window may be constructed as a matrix of percentile values, wherein a row corresponds for example to a behavior of the percentile value through focus and a column to a behavior of the percentile value through dose. A process window according to this description is depicted in Figure 36. For example the values of the focus and dose for which the probability equals or exceeds a pre-defined range of 99- 100% is depicted in the Figure as a closed curve. Basically any combination of focus and dose conditions which lie within this example curve (contour) are in this case associated with a high probability of the CD being within its specified range.

[00312] The process window may further be generalized to any desired parameter of variation. For example, in addition or alternatively to focus and/or dose, aberration, overlay, one or more dynamic performance related parameters (Moving Average, Moving Standard Deviation) or any other parameter related to the performance of a lithographic process may be used. Further, the process window may be specified in terms of a percentile value defined in a vector space having more than 2 dimensions, for example the vector space may have 3 dimensions, the dimensions associated with for example dose, focus and contrast of the imaging (for example based on one or more characteristics of the projection system of the lithographic apparatus).

[00313] The process window may further be defined based on a different metric than CD, for example a process window associated with a measured overlay (error), image contrast (NILS, ILS), EPE or local CD variations (local critical dimension uniformity (LCDU), LWR, LER) may also be envisioned. In an example the process window is defined as a matrix of values of a probability of EPE being within a pre defined range across a range of overlay error values, dose values and focus values (3-dimensional space).

[00314] After establishing a process window according to the method described above it is possible to select a suitable work point of the lithographic process. In an example, the work point of a lithographic process is selected based on a dose value associated with the highest probability of the CD being within its specified range (for example target CD +/- 10% deviation). In this example, the process window is a vector of probability values, each value being determined for a different dose value.

[00315] In another example, the process window is used to derive a contour within a multi -dimensional vector space associated with a minimally acceptable probability of the CD / overlay / EPE / image contrast being within a specified range. The center of the contour may be taken as the most promising work point to configure the lithographic process. For example the minimum acceptable probability of the EPE being within a range of -3.5 nm to +4.5 nm may be taken as 95%. In case the process window is defined within a focus - dose space, the best focus and dose value may be derived from the contour within the space associated with a probability value >= 95%, for example based on the center of the contour. This is depicted in Figure 37. The elliptical contour as drawn in the Figure represents a collection of focus and dose values for which the lithographic process is just delivering CD values meeting a certain criterion. The center of the contour‘X’ (where the dashed lines intersect, focus = 0.02 pm and dose = 53 m.T in this case) may be considered a good workpoint for the lithographic process.

[00316] In an embodiment a plurality of distributions of values of a performance parameter is obtained, each distribution of the values of the performance parameter is associated with a different processing condition. Subsequently for each distribution of values of the performance parameter an indicator of a probability of the performance parameter being within a specified range is derived to obtain a plurality of probability indicator values, each probability indicator value associated with a different processing condition. Based on a relation between the value of the probability indicator and the processing condition, a desired processing condition is selected.

[00317] In an embodiment, the performance parameter is one or more selected from: CD, EPE, overlay (error), local CDU, LER, LWR, image contrast (NILS or ILS) or yield of the process.

[00318] In an embodiment, the processing condition relates to one or more process parameters such as: focus, dose, optical aberration level(s) of a projection system of a lithographic apparatus, overlay, and/or one or more dynamic conditions associated with synchronization error between a patterning device and a substrate table.

[00319] In an embodiment, the different processing conditions are selections from a vector or matrix of values of one or more process parameters, such as focus and/or dose.

[00320] In an embodiment, the probability indicator is a percentage of measured or simulated samples comprised within a distribution of values of the performance parameter which meet a pre-defined criterion, such as a specified range of the performance parameter.

[00321] In an embodiment, the desired processing condition is selected based on one or more processing conditions associated with a maximum value of the probability indicator.

[00322] In an embodiment, the desired processing condition is selected based on a processing condition associated with one or more values of one or more process parameters for which the probability indicator is close to, or equals, a minimum required probability value.

[00323] As mentioned previously a relation between the value of the probability indicator and the processing condition may be used to select a desired processing condition. The relation is representative for the process of manufacturing semiconductor devices; a preferred process is robust against the processing conditions (for example focus / dose variations) as this will likely be reflected by a satisfactory yield of the process. Often the yield is expressed a number of or fraction of dies which are functioning within specification.

[00324] In case the process is fixed it may be advantageous to select process conditions giving the most optimum, or at least an improved, yield (compared to current conditions). Assuming the probability indicator has been determined across a process window (typically dose and focus parameter values) and dose and focus parameter values are available for (at least part of) a substrate of interest, it is possible to derive a map of the probability indicator across (at least part of) the substrate of interest. Basically per substrate location a particular value for the probability indicator may be calculated based on the relation and the substrate specific process condition data (focus and dose parameter values). Although the example mentions focus and dose values also any other relevant process parameter may be in scope and available for the substrate of interest (reticle CD and overlay data, aberration data, stage performance data and other process parameters of potentially other processing tools such as etch related parameters).

[00325] Once the substrate specific process condition data is combined with the relation a probability of failure metric can be derived across the at least part of the substrate of interest. The probability indicator being associated with a probability of a dimension or position of a feature being within a pre defined range may be translated to a probability of failure (defect probability) based on pre-defined requirements on the feature (typically based on its role within a device provided to the substrate of interest and the impact of dimensional and/or positional deviations of the feature on electrical characteristics of the device after fabrication). Hence a map of the failure probability is obtained across the at least part of the substrate for the substrate specific process conditions. The map may be further processed to depict aggregated failure probabilities; for example per die, exposure field or even per functional device area within the dies on the at least part of the substrate of interest.

[00326] Further a threshold for the failure probability may be defined. The threshold may for example represent a value of the failure probability which is believed to be the maximum allowable value still giving acceptable device performance. Using the threshold the failure probability map may for example used to derive a number or fraction of dies on the substrate of interest which are yielding.

[00327] Further based on the failure probability map and the substrate specific process condition data an improved process condition may be determined which is expected to improve the number or fraction of for example yielding dies on the substrate of interest. For example an updated target dose and focus value may be determined that is predicted to improve the yield (based on the known relation between the probability indicator and dose/focus values and the known distribution of focus and dose values for the substrate of interest). [00328] Further processing data associated with previous layers as provided to the substrate of interest may be taken into account for determining an improved process condition for a current layer on the substrate of interest. For example pattern placement error (PPE), CD or overlay information associated with one or more previous layers may be used to enhance the determining of the failure probability values and subsequently may result in determination of an adjusted best processing condition prediction. For example a certain PPE fingerprint may indicate that it is advantageous to slightly bias the device dimensions of a subsequent layer in order to guarantee good contact between the features comprised within the previous and subsequent layer(s). The bias may be a decision to lower the target dose with a certain amount in order to increase the dimensions of the features within a current layer in order to at least partially compensate the relatively large PPE as observed in a previous layer.

[00329] In an embodiment a method is provided to determine a probability of failure of one or more semiconductor devices provided to a substrate, the method comprising: obtaining a relation between the value of a probability indicator and a processing condition; obtaining substrate specific values of one or more parameters associated with the processing condition across at least part of the substrate; and combining the relation and the substrate specific values to determine the probability of failure across at least part of the substrate.

[00330] In an embodiment the processing condition comprises values of an effective dose and focus deviation across at least part of the substrate.

[00331] In an embodiment the method further comprises determining a yield metric representative for a fraction or number of yielding dies on the at least part of the substrate based on the probability of failure across at least part of the substrate and a selected threshold of the probability of failure.

[00332] In an embodiment the method further comprises determining an improved processing condition based on an expected improvement of the yield metric.

[00333] Other embodiments of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the embodiments disclosed herein.

[00334] Further embodiments of the disclosure are given in the list of numbered clauses below:

1. A method of determining a characteristic of one or more processes for manufacturing features on a substrate, the method comprising:

obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain measured data of one or more dimensions of each of at least some of the plurality of features;

determining an overall statistical parameter that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features;

determining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and

determining the characteristic of the one or more processes to comprise the probability of defective manufacture of features and the overall statistical parameter.

2. The method according to clause 1, wherein the image data is obtained from a plurality of regions on the substrate.

3. The method according to clause 2, further comprising:

determining, for each of the plurality of regions, a local statistical parameter that is dependent on the variation of the measured data of one or more dimensions of a plurality of features in the region; and determining the overall statistical parameter in dependence on a plurality of the local statistical parameters.

4. The method according to clause 3, wherein the local statistical parameter of each region is dependent on the local critical dimension uniformity of features in the region.

5. The method according to any preceding clause, further comprising determining a defect probability relationship that indicates the relationship between determined probabilities of defective manufacture of features and respective overall statistical parameters,

wherein determining the defect probability relationship comprises generating a plurality of characteristics for one or more processes performed in the manufacturing of features on the substrate, wherein each of the plurality characteristics is generated by performing the method under different conditions of the one or more processes.

6. The method according to any preceding clause, wherein each overall statistical parameter is generated in dependence on:

a mean value of one or more dimensions of a plurality of features; and

a variation value that is dependent on the variation of one or more dimensions of the plurality of features.

7. The method according to clause 6, wherein the variation value is the standard deviation of one or more dimensions of the plurality of features.

8. The method according to clause 6 or clause 7, wherein each overall statistical parameter is generated in dependence on either:

the difference between the mean value and a multiple of the variation value; or

the sum of the mean value and the multiple of the variation value.

9. The method according to clause 8, wherein the multiple of the variation value is three.

10. The method according to clause 8 or clause 9, wherein:

each overall statistical parameter is generated in dependence on the difference between the mean value and a multiple of the variation value when the mean value is below a threshold value; and each overall statistical parameter is generated in dependence on the sum of the mean value and the multiple of the variation value when the mean value is at or above the threshold value.

11. The method according to clause 10, wherein the threshold value is determined as the threshold value for which a first cumulative probability value and a second cumulative probability value are the same; wherein:

the first cumulative probability value is the cumulative probability of the occurrence of defects for all mean values of a plurality of statistical parameters that are below the threshold value; and

the second cumulative probability value is the cumulative probability of the occurrence of defects for all mean values of a plurality of statistical parameters that are at or above the threshold value.

12. The method according to clause 5, or any clause dependent on clause 5, further comprising determining one or more formulae for describing each of the tails of the defect probability relationship.

13. The method according to clause 12, further comprising:

using the one or more formulae to estimate a minimum achievable defect probability;

determining a value of the overall statistical parameter that corresponds to the estimated minimum achievable defect probability; and

determining one or more process windows for the one or more processes in dependence on the determined value of the overall statistical parameter.

14. The method according to clause 12, further comprising:

using the one or more formulae to determine a range of values of the overall statistical parameter over which the defect probability is at, or below, a user determined level; and

determining one or more process windows for the one or more processes in dependence on the determined range of values of the overall statistical parameter.

15. The method according to clause 12, further comprising:

using one or more formulae for describing only one of the tails of the defect probability relationship to determine a value of the overall statistical parameter at and either above or below which the defect probability is at, and either above or below, a user determined level; and

determining one or more process windows for the one or more processes in dependence on the determined values of the overall statistical parameter.

16. The method according to any of clauses 13 to 15, wherein determining a process window of a process comprises determining a process setting in dependence on a known, or estimated, relationship between the process setting of each process and the value of the overall statistical parameter.

17. The method according to clause 16, wherein the process settings comprise one or more selected from: a focus setting, a dose setting, an etch tool setting, a laser bandwidth setting, an optical aberration setting, a dynamic parameter setting of a lithographic apparatus, a deposition tool setting and/or a resist development setting.

18. The method according to clause 5, or any clause dependent on clause 5, wherein the defect probability relationship is determined by generating a plurality of characteristics at each of a plurality of process settings of a dose process and at each of a plurality of process settings of a focus process.

19. The method according to any preceding clause, wherein the measured data is obtained by an after development inspection of features formed with a resist applied to the substrate.

20. The method according to any preceding clause, wherein the measured data is obtained by an after etch inspection of features formed within a layer applied to the substrate.

21. The method according to any preceding clause, wherein the determined defective features in the image data comprise missing features when a feature should be present and the merging of at least two features when the at least two features should be separated from each other.

22. The method according to any preceding clause, wherein the measured data comprises data of features comprised within two or more layers on the substrate and the determined defective features in the image data comprises too large an error in the relative positioning of features comprised by different layers.

23. The method according to clause 5, or any clause dependent from clause 5, further comprising: determining, for each of a plurality of photoresists, a defect probability relationship and one or more process windows in dependence on the defect probability relationship; and

selecting a photoresist for use in the process of manufacturing features in dependence on the determined one or more process windows.

24. A system configured to perform the method of any preceding clause.

25. The system according to clause 24, wherein the system comprises a computing system and an electron beam apparatus, wherein:

the electron beam apparatus is arranged to obtain images of a substrate; and

the computing system is arranged to receive the obtained images of a substrate and perform the method of any of clauses 1 to 23.

26. The system according to clause 24 or clause 25, wherein the system comprises a lithographic apparatus and/or a metrology apparatus.

27. A non-transitory computer-readable medium comprising instructions that, when executed, are configured to cause the manufacturing process of a device on a substrate to be controlled according to a method according to any of clauses 1 to 23.

28. A method for determining a desired processing condition, the method comprising:

obtaining a plurality of distributions of values of a performance parameter, each distribution of the values of the performance parameter is associated with a different processing condition; deriving for each distribution of values of the performance parameter an indicator of a probability of the performance parameter being within a specified range to obtain a plurality of probability indicator values, each probability indicator value associated with a different processing condition; and

determining the desired processing condition based on a relation between the value of the probability indicator and the processing condition.

29. The method of clause 28, wherein the performance parameter is one or more selected from: critical dimension, edge placement error, overlay (error), local critical dimension uniformity, line edge roughness, line width roughness, image contrast (normalized image log slope or image log slope) or yield of the process.

30. The method of clause 28 or clause 29, wherein the processing condition relates to one or more process parameters such as: focus, dose, optical aberration level(s) of a projection system of a lithographic apparatus, overlay, and/or a dynamic condition associated with synchronization error between a patterning device and a substrate table.

31. The method of any of clauses 28 to 30, wherein the different processing conditions are selections from a vector or matrix of values of one or more process parameters, such as focus and/or dose.

32. The method of any of clauses 28 to 31, wherein the probability indicator is a percentage of measured or simulated samples comprised within a distribution of values of the performance parameter which meet a pre -defined criterion, such as a specified range of the performance parameter.

33. The method of any of clauses 28 to 32, wherein the desired processing condition is selected based on one or more processing conditions associated with a maximum value of the probability indicator.

34. The method of any of clauses 28 to 32, wherein the desired processing condition is selected based on a processing condition associated with one or more values of one or more process parameters for which the probability indicator is close to, or equals, a minimum required probability value.

35. A method of determining a probability of failure of one or more semiconductor devices provided to a substrate, the method comprising: obtaining the relation between the value of the probability indicator and the processing condition using the method of clause 28; obtaining substrate specific values of one or more parameters associated with the processing condition across at least part of the substrate; and combining the relation and the substrate specific values to determine the probability of failure across at least part of the substrate.

36. The method of clause 35, wherein the processing condition comprises values of an effective dose and focus deviation across at least part of the substrate.

37. The method of clause 35 or 36, further comprising determining a yield metric representative for a fraction or number of yielding dies on the at least part of the substrate based on the probability of failure across at least part of the substrate and a selected threshold of the probability of failure. 38. The method of clause 37, further comprising determining an improved processing condition based on an expected improvement of the yield metric.

[00335] It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims. In addition, where this application has listed the steps of a method or procedure in a specific order, it may be possible, or even expedient in certain circumstances, to change the order in which some steps are performed, and it is intended that the particular steps of the method or procedure claims set forth here below not be construed as being order-specific unless such order specificity is expressly stated in the claim.