Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD AND COMPUTER DEVICE FOR DETERMINING THE RELIABILITY OF A MICROSYSTEM
Document Type and Number:
WIPO Patent Application WO/2006/067306
Kind Code:
A2
Abstract:
The invention concerns a method and a device for determining the reliability of a microsystem comprising a plurality of functional components. It consists in providing a virtual functional prototype (1) representing the different functional components of the microsystem, associating with said virtual functional prototype (1), at least one module, called aging module (4), for modeling the aging laws of the different functional components of the microsystem. The virtual functional component (1) and/or the aging module (4) being adapted to be able to receive and process digital data (7) representing predetermined conditions of use and/or of environment of the microsystem, applying the aging module (4) to the virtual functional prototype (1) so as to provide a virtual prototype of reliability (2) capable of determining from the evolution of the functional behaviour of the microsystem in time of the digital data representing predetermined conditions of use and/or of environment of the microsystem.

Inventors:
SCHMITT PETRA (FR)
PRESSECQ FRANCIS (FR)
ESTEVE DANIEL (FR)
FOURNIOLS JEAN-YVES (FR)
Application Number:
PCT/FR2005/003143
Publication Date:
June 29, 2006
Filing Date:
December 15, 2005
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
CENTRE NAT ETD SPATIALES (FR)
SCHMITT PETRA (FR)
PRESSECQ FRANCIS (FR)
ESTEVE DANIEL (FR)
FOURNIOLS JEAN-YVES (FR)
International Classes:
B81C99/00; (IPC1-7): G06F
Other References:
SHEU B J ET AL: "Reliability assurance of application-specific microelectronic circuits" PROCS RELIABILITY AND MAINTAINABILITY SYMPOSIUM, 23 janvier 1990 (1990-01-23), pages 381-388, XP010001031
CRUZEL S, ET AL: "Reliability of microsystems based on a failure mechanisms approach" PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING, vol. 3880, septembre 1999 (1999-09), pages 148-155, XP002402530
LISBOA J J: "Reliability Assessment Of Power Plant Instrumentation And Control Systems With Monolithic Integrated Circuits" NUCLEAR SCIENCE SYMPOSIUM, 1990. CONFERENCE RECORD : INCLUDING SESSIONS ON NUCLEAR POWER SYSTEMS AND MEDICAL IMAGING CONFERENCE, 1990 IEEE ARLINGTON, VA, USA 22-27 OCT. 1990, NEW YORK, NY, USA,IEEE, US, 22 octobre 1990 (1990-10-22), pages 972-976, XP010041433 ISBN: 0-87942-683-7
MORTIN D E ET AL: "CONSIDERATION OF COMPONENT FAILURE MECHANISMS IN THE RELIABILITY ASSESSMENT OF ELECTRONIC EQUIPMENT - ADDRESSING THE CONSTANT FAILURE RATE ASSUMPTION" PROCEEDINGS OF THE ANNUAL RELIABILITY AND MAINTAINABILITY SYMPOSIUM. WASHINGTON, JAN. 16 - 19, 1995, NEW YORK, IEEE, US, 16 janvier 1995 (1995-01-16), pages 54-59, XP000538590 ISBN: 0-7803-2471-4
Attorney, Agent or Firm:
CABINET BARRE LAFORGUE & ASSOCIES (Toulouse, France, FR)
Download PDF:
Claims:

REVENDICATIONS

1/ - Procédé de détermination de la fiabilité d'un microsystème comprenant une pluralité de composants fonctionnels, caractérisé en ce que : on réalise un prototype virtuel fonctionnel (1) représentatif des différents composants fonctionnels du microsystème, on associe à ce prototype virtuel fonctionnel (1), au moins un module, dit module de vieillissement (4), de modélisation des lois de vieillissement des différents composants fonctionnels du microsystème, le prototype virtuel fonctionnel (1) et/ou le module de vieillissement (4) étant adapté(s) pour pouvoir recevoir et traiter des données numériques (7) représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème, on applique le module de vieillissement (4) au prototype virtuel fonctionnel (1) de façon à réaliser un prototype virtuel de fiabilité (2) apte à déterminer l'évolution du comportement fonctionnel du microsystème au cours du temps à partir de données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème, on applique un jeu (7) de valeurs représentatives de conditions d'utilisation et/ou d'environnement au prototype virtuel de fiabilité (2) de façon à déterminer au moins une valeur représentative de la fiabilité du microsystème.

2/ - Procédé selon la revendication 1, caractérisé en ce que le prototype virtuel de fiabilité (2) est adapté pour déterminer l'instant d'apparition d'une première défaillance fatale dans le microsystème.

3/ - Procédé selon l'une des revendications 1 ou 2, caractérisé en ce qu'on associe au prototype virtuel de fiabilité (2) au moins un module, dit module de défaillance complémentaire (5), de modélisation de phénomènes de défaillance autres que ceux liés aux défaillances des composants fonctionnels de ce dernier.

4/ - Procédé selon la revendication 3, caractérisé en ce que le microsystème présentant des liaisons, dites liaisons fonctionnelles, avec son environnement extérieur par lesquelles sont transmises des entrées et des sorties, au moins un module de défaillance complémentaire (5) est adapté pour modéliser des phénomènes de défaillance limitant la durée de vie du microsystème, de structures, dites non fonctionnelles, normalement sans influence sur les entrées et sorties du microsystème.

5/ - Procédé selon l'une des revendications 3 ou 4, caractérisé en ce qu'au moins un module de défaillance complémentaire est adapté pour modéliser des phénomènes de défaillance de structures non fonctionnelles choisies dans le groupe formé des supports physiques, des interconnexions intérieures, des assemblages, des conditionnements et des protections du microsystème.

6/ - Procédé selon l'une des revendications 3 à 5, caractérisé en ce que le module de défaillance complémentaire (5) est adapté pour modéliser une rupture d'un conducteur électrique -notamment d'une broche de connexion électrique du microsystème-.

Il - Procédé selon l'une des revendications 3 à 6, caractérisé en ce que le module de défaillance complémentaire (5) est adapté pour modéliser une dégradation de contacts électriques.

8/ - Procédé selon l'une des revendications 3 à 7, caractérisé en ce que le module de défaillance complémentaire (5) est adapté pour modéliser des phénomènes de collage entre pièces normalement séparées.

9/ - Procédé selon l'une des revendications 1 à 8, caractérisé en ce que le prototype virtuel fonctionnel (1) et/ou le module de vieillissement (4) est(sont) adapté(s) pour modéliser des paramètres physiques de l'environnement dans lequel le microsystème est destiné à être utilisé, choisis parmi : la température ; la pression ; la structure et/ou l'état et/ou la nature et/ou la concentration des constituants de l'espace environnant le microsystème ; la nature et/ou la quantité des rayonnements auxquels le microsystème est soumis ; les caractéristiques des sollicitations mécaniques

auxquelles le microsystème est soumis.

10/ - Procédé selon l'une des revendications 1 à 9, caractérisé en ce que le module de vieillissement (4) est adapté pour modéliser des phénomènes de vieillissement choisis parmi : le fluage ; les ruptures sous contraintes ponctuelles ; la fatigue ; les propagations de fissures ; l'usure ; les décollements de pièces normalement collées ; la contamination par des particules et/ou des gaz ; l'évolution des caractéristiques diélectriques ; les phénomènes de migration de matériau ; les phénomènes de décharges électrostatiques ; les dépassements de tension électrique ; les dépassements d'intensité électrique.

11/ - Dispositif informatique de détermination de la fiabilité d'un microsystème comprenant une pluralité de composants fonctionnels, caractérisé en ce qu'il comprend :

- un prototype virtuel fonctionnel (1) représentatif des différents composants fonctionnels du microsystème,

- associé à ce prototype virtuel fonctionnel (1), au moins un module, dit module de vieillissement (4), de modélisation des lois de vieillissement des différents composants fonctionnels du microsystème,

- le prototype virtuel fonctionnel (1) et/ou le module de vieillissement (4) étant adapté(s) pour pouvoir recevoir et traiter des données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème, en ce qu'il est adapté pour pouvoir appliquer le module de vieillissement (4) au prototype virtuel fonctionnel (1) de façon à former un prototype virtuel de fiabilité (1) apte à déterminer l'évolution du comportement fonctionnel du microsystème au cours du temps à partir des données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème, et en ce qu'il est adapté pour délivrer au moins une valeur représentative de la fiabilité du microsystème par application d'un jeu (7) de valeurs représentatives de conditions d'utilisation et/ou d'environnement au prototype virtuel de fiabilité (2).

12/ - Dispositif selon la revendication 11, caractérisé en ce que le prototype virtuel de fiabilité (2) est adapté pour déterminer l'instant d'apparition d'une première défaillance fatale dans le microsystème.

13/ - Dispositif selon l'une des revendications 11 ou 12, caractérisé en ce qu'il comprend, associé au prototype virtuel de fiabilité (2), au moins un module, dit module de défaillance complémentaire (5), de modélisation de phénomènes de défaillance autres que ceux liés aux défaillances des composants fonctionnels de ce dernier.

14/ - Dispositif selon la revendication 13, caractérisé en ce que le microsystème présentant des liaisons, dites liaisons fonctionnelles, avec son environnement extérieur par lesquelles sont transmises des entrées et des sorties interagissant avec les composants fonctionnels du microsystème, au moins un module de défaillance complémentaire (5) est adapté pour modéliser des phénomènes de défaillance de structures, dites non fonctionnelles, normalement sans influence sur les entrées et sorties du microsystème.

15/ - Dispositif selon l'une des revendications 13 ou 14, caractérisé en ce qu'au moins un module de défaillance complémentaire est adapté pour modéliser des phénomènes de défaillance d'organes ou structures choisis dans le groupe formé des supports physiques, des interconnexions intérieures, des assemblages, des conditionnements et des protections du microsystème.

16/ - Dispositif selon l'une des revendications 13 à 15, caractérisé en ce que le module de défaillance complémentaire (5) est adapté pour modéliser une rupture d'un conducteur électrique -notamment d'une broche de connexion électrique du microsystème-.

17/ - Dispositif selon l'une des revendications 13 à 16, caractérisé en ce que le module de défaillance complémentaire (5) est adapté pour modéliser une dégradation de contacts électriques.

18/ - Dispositif selon l'une des revendications 13 à 17, caractérisé en ce que le module de défaillance complémentaire (5) est adapté pour

modéliser des phénomènes de collage entre pièces normalement séparées.

19/ - Dispositif selon l'une des revendications 11 à 18, caractérisé en ce que le prototype virtuel fonctionnel (1) et/ou le module de vieillissement (4) est(sont) adapté(s) pour modéliser des paramètres physiques de l'environnement dans lequel le microsystème est destiné à être utilisé, choisis parmi : la température ; la pression ; la structure et/ou l'état et/ou la nature et/ou la concentration des constituants de l'espace environnant le microsystème ; la nature et/ou la quantité des rayonnements auxquels le microsystème est soumis ; les caractéristiques des sollicitations mécaniques auxquelles le microsystème est soumis.

20/ - Dispositif selon l'une des revendications 11 à 19, caractérisé en ce que le module de vieillissement (4) est adapté pour modéliser des phénomènes de vieillissement choisis parmi : le fluage ; les ruptures sous contraintes ponctuelles ; la fatigue ; les propagations de fissures ; l'usure ; les décollements de pièces normalement collées ; la contamination par des particules et/ou des gaz ; l'évolution des caractéristiques diélectriques ; les phénomènes de migration de matériau ; les phénomènes de décharges électrostatiques ; les dépassements de tension électrique ; les dépassements d'intensité électrique.

21/ - Support d'enregistrement de données numériques adapté pour pouvoir être lu par un dispositif informatique, ce support comprenant des données formant au moins un programme apte à être chargé en mémoire vive d'un dispositif informatique et à être exécuté par ce dernier, caractérisé en ce qu'il comprend des données formant au moins un programme apte à réaliser au moins une partie d'un procédé de détermination de la fiabilité d'un microsystème conformément à l'une des revendications 1 à 10.

Description:

PROCéDé ET DISPOSITIF INFORMATIQUE DE DéTERMINATION DE LA

FIABILITé D'UN MICROSYSTèME

L'invention concerne un procédé et un dispositif informatique de détermination de la fiabilité d'un microsystème. Elle s'étend à un support d'enregistrement de données numériques adaptées pour pouvoir être lues par un dispositif informatique, et comprenant des données formant au moins un programme apte à réaliser au moins une partie d'un procédé selon l'invention.

Dans tout le texte, on désigne par « microsystème », tout dispositif :

- comprenant une pluralité de composants fonctionnels (électriques et/ou électroniques et/ou mécaniques et/ou chimiques et/ou biochimiques et/ou magnétiques et/ou fluidiques et/ou optiques...) pouvant faire office notamment de capteurs et/ou d'actionneurs et/ou de composants de traitement du signal et/ou de sources d'énergie (composants de puissance) et/ou de composants logiques,

- conçu selon une approche de système intégré, en prenant en compte les interactions entre les différents composants fonctionnels le constituant,

- fabriqué selon les techniques de fabrication collective des circuits intégrés,

- dont la taille varie de quelques micromètres à quelques millimètres. Ce terme englobe aussi les dispositifs désignés « micromachines », ou les MEMS, ou les MST. Un microsystème présente de faibles dimensions, un faible volume, une faible masse, et une faible consommation énergétique, notamment électrique.

Les microsystèmes présentent par rapport aux systèmes conventionnels les avantages suivants. Leur miniaturisation permet l'obtention de structures légères, de petite taille, de faible consommation d'énergie, avec des constantes temporelles thermiques faibles, des fréquences de résonance et donc des largeurs de bande élevées. Les microsystèmes offrent des possibilités de mesure améliorées en rendant possible la multiplication du nombre de capteurs pour effectuer

des mesures distribuées.

Grâce à des productions en série, la fabrication collective permet de fabriquer à bas coût, de façon reproductible, des composants présentant toujours les mêmes performances.

En outre, il est possible d'intégrer dans un microsystème capteur/actionneur, des fonctionnalités complémentaires de calcul pour réaliser des systèmes de calibration, de traitement de signal, de test : c'est le concept de capteur intelligent. Grâce à l'intégration, il est également possible d'utiliser des signaux électriques plus faibles. De plus, la réduction des longueurs des liaisons (qui sont des sources de bruit) permet d'améliorer grandement leur sensibilité.

Néanmoins, l'emploi des microsystèmes en pratique implique de pouvoir maîtriser leur fiabilité, et notamment de pouvoir déterminer à l'avance leur durée de vie.

En effet, la maîtrise de la fiabilité, ou de la durée de vie d'un microsystème est cruciale tant du point de vue économique, que du point de vue des différentes applications dans lesquelles les microsystèmes peuvent être utilisés. En particulier, dans certaines applications, il est nécessaire de parfaitement maîtriser la durée de vie des différents dispositifs, à des fins par exemple de certification. Tel est le cas en particulier dans les applications spatiales, aéronautiques, médicales, dans le domaine des télécommunications ou des systèmes de défense...

Or, l'hétérogénéité des microsystèmes et de leurs interfaces avec l'environnement extérieur, leur complexité, le fait qu'ils combinent différents phénomènes physiques, leur haut niveau d'intégration, la combinaison synergique de leurs différents composants fonctionnels, ont pour conséquence que la maîtrise de leur fiabilité et la détermination de leur durée de vie posent en pratique des problèmes insurmontables.

Différentes méthodes de modélisation ou simulation des fautes de fabrication ou de conception des microsystèmes ont été proposées. Néanmoins, les méthodes envisagées jusqu'à maintenant ne portent que sur des défauts spécifiques et

particuliers pouvant être rencontrés dans certains composants fonctionnels uniquement des microsystèmes, et ne permettent pas de maîtriser la durée de vie d'un microsystème de façon globale et en fonction de l'application spécifique à laquelle il est destiné.

Or, les inventeurs ont maintenant déterminé qu'il est crucial de pouvoir maîtriser précisément la fiabilité, en particulier la durée de vie d'un microsystème en prenant en compte non seulement ses conditions de fabrication et de conception, mais également et surtout les conditions d'utilisation et environnementales auxquelles il doit être soumis.

La publication «Reliability testing of micro-sensors, micro- actuators, and micro-switches » Srinivas Tadigapada et Christopher Muhlstein, CANEUS 2004 Hyatt Regency Monterey, Monterey, California, USA, 1-5 novembre 2004, brosse un état de l'art concernant les différentes techniques connues de mesure de la fiabilité des microsystèmes. Comme l'indique ce document, la seule méthode considérée comme possible actuellement pour tester la fiabilité d'un microsystème consiste à fabriquer un grand nombre de prototypes du microsystème à tester, à soumettre les différents prototypes à des tests de vieillissement accéléré, et à réaliser des mesures statistiques sur les défaillances rencontrées. Or, les microsystèmes comprennent un très grand nombre de composants, et ont une fiabilité qui augmente en permanence, ce qui impose la fabrication d'un nombre d'autant plus important de prototypes pour pouvoir effectuer des mesures statistiques pertinentes. En outre, chaque prototype est d'un coût relativement important. Dès lors, en pratique, la mesure de fiabilité d'un microsystème selon les méthodes envisagées jusqu'à maintenant est d'une part complexe, et d'autre part extrêmement coûteuse.

Ainsi, aujourd'hui, on renonce à l'emploi de microsystèmes dans de nombreuses applications du seul fait que la fiabilité, c'est-à-dire la durée de vie des microsystèmes, ne peut pas être maîtrisée et certifiée en pratique à des conditions économiques compatibles avec les budgets correspondants.

La publication LISBOA « Reliability assessment ofpower plant instrumentation and control Systems with monolothic integrated circuits » NUCLEAR

SCIENCE SYMPOSIUM, 1990, IEEE, US, 22 octobre 1990, pages 972-976, décrit une méthode d'analyse de fiabilité de composants intégrés monolithiques de systèmes d'instrumentation pour centrale énergétique, notamment pour centrale nucléaire, consistant à développer un modèle mathématique analytique statistique représentatif de la fiabilité, en prenant comme hypothèse soit un taux de défaillance constant, soit, pour certains composants, un taux de défaillance variable estimé par analyse statistique. Dans le modèle proposé, chaque composant est considéré comme une variable aléatoire caractérisée par deux états : un état fonctionnel ou un état non fonctionnel. Chaque composant du circuit intégré est considéré comme pouvant faire l'objet d'une mesure propre. Ce document ne fournit pas de solution pour la détermination de la fiabilité des microsystèmes modernes.

La publication SHEU « Reliability Assurance of Application- Specific Microelectronic Circuits », PROCS RELIABILITY AND MAINTAINABILITY SYMPOSIUM, 23 janvier 1990, pages 381-388, décrit un simulateur de fiabilité pour circuit intégré permettant de fournir une assistance aux concepteurs pour améliorer la qualité et la fiabilité des circuits intégrés et des microsystèmes, en prenant en compte en particulier, à partir d'un simulateur du circuit, les effets de détérioration par les « porteurs chauds », l' électromigration et la dégradation des diélectriques au cours du temps. Mais le procédé décrit dans ce document ne permet pas de prendre en compte des données représentatives de conditions d'utilisation et/ou d'environnement, ni des défaillances non liées aux composants fonctionnels du microsystème.

L'invention vise donc à pallier ces inconvénients en proposant un procédé et un dispositif informatique permettant de déterminer à l'avance par simulation la fiabilité d'un microsystème, de façon simple et à faible coût, et ce en prenant en compte l'ensemble des sources potentielles de défaillances pour l'ensemble du microsystème, ainsi que les conditions d'utilisation et les conditions environnementales auxquelles le microsystème doit être soumis.

Dans tout le texte, par « prototype virtuel » on désigne tout

ensemble de données numériques enregistrées et/ou de logiciels susceptibles de représenter par voie informatique la structure et/ou le fonctionnement d'un objet matériel réel.

Pour ce faire, l'invention concerne un procédé de détermination de la fiabilité d'un microsystème comprenant une pluralité de composants fonctionnels, caractérisé en ce que : on réalise un prototype virtuel fonctionnel représentatif des différents composants fonctionnels du microsystème, on associe à ce prototype virtuel fonctionnel, au moins un module, dit module de vieillissement, de modélisation des lois de vieillissement des différents composants fonctionnels du microsystème, le prototype virtuel fonctionnel et/ou le module de vieillissement étant adapté(s) pour pouvoir recevoir et traiter des données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème,

- on applique le module de vieillissement au prototype virtuel fonctionnel de façon à réaliser un prototype virtuel de fiabilité apte à déterminer l'évolution du comportement fonctionnel du microsystème au cours du temps à partir de données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du micro système,

- on applique un jeu de valeurs représentatives de conditions d'utilisation et/ou d'environnement au prototype virtuel de fiabilité de façon à déterminer au moins une valeur représentative de la fiabilité du microsystème.L'invention s'étend également à un dispositif informatique de détermination de la fiabilité d'un microsystème comprenant une pluralité de composants fonctionnels, caractérisé en ce qu'il comprend :

- un prototype virtuel fonctionnel représentatif des différents composants fonctionnels du microsystème,

- associé à ce prototype virtuel, au moins un module, dit module

de vieillissement, de modélisation des lois de vieillissement des différents composants fonctionnels du microsystème,

- le prototype virtuel fonctionnel et/ou le module de vieillissement étant adapté(s) pour pouvoir recevoir et traiter des données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème,

- en ce qu'il est adapté pour pouvoir appliquer le module de vieillissement au prototype virtuel fonctionnel de façon à former un prototype virtuel de fiabilité apte à déterminer l'évolution du comportement fonctionnel du microsystème au cours du temps à partir de données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème,

- et en ce qu'il est adapté pour délivrer au moins une valeur représentative de la fiabilité du microsystème par application d'un jeu de valeurs représentatives de conditions d'utilisation et/ou d'environnement au prototype virtuel de fiabilité.

Avantageusement et selon l'invention, le prototype virtuel de fiabilité est adapté pour déterminer l'instant d'apparition d'une première défaillance fatale dans le microsystème. Ainsi, le prototype virtuel de fiabilité selon l'invention est adapté pour déterminer la durée de vie du microsystème.

Avantageusement et selon l'invention, on associe au prototype virtuel de fiabilité au moins un module, dit module de défaillance complémentaire, de modélisation de phénomènes de défaillance autres que ceux liés aux défaillances des composants fonctionnels de ce dernier. Ce module de défaillance complémentaire permet en particulier de modéliser l'évolution de fonctionnement d'éléments et/ou ensembles d'éléments constitutifs du microsystème autres que des composants fonctionnels de ce dernier. Il permet de même de modéliser l'évolution de paramètres généraux non spécifiquement liés à l'un des composants fonctionnels du microsystème, en fonction des conditions d'utilisation et/ou d'environnement.

Les inventeurs ont en effet déterminé que pour pouvoir

déterminer la fiabilité d'un microsystème, il est nécessaire de prendre en compte non seulement les causes de défaillances liées aux composants fonctionnels du microsystème, mais également, et surtout, toutes les autres causes de défaillance possibles, c'est-à-dire celles liées à des organes ou structures normalement passifs, c'est-à-dire qui sont normalement sans influence sur les entrées et sorties du microsystème. Un tel module de défaillance complémentaire associé au prototype virtuel fonctionnel permet de prendre en compte toutes les causes possibles de défaillance et ainsi de fournir une détermination de la fiabilité d'un microsystème qui peut être certifiée sans avoir à réaliser des prototypes matériels réels du microsystème.

Un tel module de défaillance complémentaire peut être associé au prototype virtuel de fiabilité, en étant intégré en tout ou partie au prototype virtuel fonctionnel et/ou au module de vieillissement. Il peut aussi en tout ou partie être directement intégré au prototype virtuel de fiabilité indépendamment du prototype virtuel fonctionnel et du module de vieillissement.

Ainsi, avantageusement et selon l'invention, le microsystème présentant des liaisons, dites liaisons fonctionnelles, avec son environnement extérieur par lesquelles sont transmises des entrées et des sorties interagissant avec les composants fonctionnels du microsystème, au moins un module de défaillance complémentaire est adapté pour modéliser des phénomènes de défaillance limitant la durée de vie du microsystème de structures, dites non fonctionnelles, normalement sans influence sur les entrées et sorties du microsystème. Plus particulièrement, avantageusement et selon l'invention, au moins un module de défaillance complémentaire est adapté pour modéliser des phénomènes de défaillance de structures non fonctionnelles choisies dans le groupe formé des supports physiques, des interconnexions intérieures, des assemblages, des conditionnements et des protections du microsystème.

Avantageusement et selon l'invention, le module de défaillance complémentaire est adapté pour modéliser au moins une rupture d'un conducteur électrique -notamment d'une broche de connexion électrique du microsystème.

Avantageusement et selon l'invention, le module de défaillance complémentaire est adapté pour modéliser au moins une dégradation de contacts électriques. Avantageusement et selon l'invention, le module de défaillance complémentaire est adapté pour modéliser des phénomènes de collage entre pièces normalement séparées.Par ailleurs, le prototype virtuel de fiabilité selon l'invention incorpore au moins un module, dit module environnemental, permettant de générer des valeurs de paramètres d'entrée pour le prototype virtuel fonctionnel et/ou le module de vieillissement et/ou le module de défaillance complémentaire, en fonction de données numériques représentatives de conditions prédéterminées d'utilisation et/ou d'environnement du microsystème. Ce module environnemental peut être intégré en tout ou partie dans le prototype virtuel fonctionnel. De même, ce module environnemental peut être intégré en tout ou partie dans le module de vieillissement. également, ce module environnemental peut être intégré en tout ou partie dans le module de défaillance complémentaire. Enfin, ce module environnemental peut être réalisé en tout ou partie par un module distinct du prototype virtuel fonctionnel, du module de vieillissement, et du module de défaillance complémentaire.

Avantageusement et selon l'invention, le prototype virtuel fonctionnel et/ou le module de vieillissement est(sont) adapté(s) pour modéliser des paramètres physiques de l'environnement dans lequel le microsystème est destiné à être utilisé, choisis parmi : la température ; la pression ; la structure et/ou l'état et/ou la nature et/ou la concentration des constituants de l'espace environnant le microsystème ; la nature et/ou la quantité des rayonnements auxquels le microsystème est soumis ; les caractéristiques des sollicitations mécaniques auxquelles le microsystème est soumis. D'autres paramètres peuvent être modélisés, selon les applications et le type de microsystème considéré.

Par ailleurs, avantageusement et selon l'invention, le module de vieillissement est adapté pour modéliser des phénomènes de vieillissement choisis parmi : le fluage ; les ruptures sous contraintes ponctuelles ; la fatigue ; les propagations de fissures ; l'usure ; les décollements de pièces normalement collées ; la

contamination par des particules et/ou des gaz ; l'évolution des caractéristiques diélectriques ; les phénomènes de migration de matériau ; les phénomènes de décharges électrostatiques ; les dépassements de tension électrique ; les dépassements d'intensité électrique. Là encore, d'autres phénomènes de vieillissement peuvent être modélisés, selon les applications et le type de microsystème considéré. Il est à noter que les lois de vieillissement des différents composants fonctionnels d'un microsystème, ou du microsystème lui-même, sont bien connues en elles-mêmes et peuvent être aisément modélisées.

Avantageusement et selon invention, le prototype virtuel fonctionnel, et plus généralement le prototype virtuel de fiabilité, est réalisé à partir du langage de modélisation VHDL-AMS. Ce langage est un standard IEEE 1076. 1.

L'invention s'étend également à un support d'enregistrement de données numériques adapté pour pouvoir être lu par un dispositif informatique, ce support comprenant des données formant au moins un programme apte à être chargé en mémoire vive d'un dispositif informatique et à être exécuté par ce dernier, caractérisé en ce qu'il comprend des données formant au moins un programme apte à réaliser au moins une partie d'un procédé de détermination de la fiabilité d'un microsystème selon l'invention. En particulier, un support d'enregistrement selon l'invention permet, lorsqu'il est chargé et exécuté dans un dispositif informatique, d'obtenir un dispositif informatique selon l'invention.

L'invention concerne en outre un dispositif, un procédé et un support d'enregistrement caractérisés en combinaison par tout ou partie des caractéristiques mentionnées ci-dessus ou ci-après.

D'autres buts, caractéristiques et avantages de l'invention apparaîtront à la lecture de la description suivante qui se réfère aux figures annexées, représentant à titre d'exemples non limitatifs différents modes de réalisation de l'invention, et dans lesquelles :

- la figure 1 est un schéma synoptique illustrant les éléments constitutifs d'un dispositif informatique et d'un procédé selon l'invention,

- les figures 2a et 2b sont des schémas en coupe transversale illustrant un premier exemple de microsystème dont la fiabilité peut-être déterminée par un procédé et un dispositif informatique selon l'invention,

- la figure 3 est un schéma en coupe transversale illustrant un deuxième exemple de microsystème dont la fiabilité peut-être déterminée par un procédé et un dispositif informatique selon l'invention,

- les figures 4a et 4b sont des diagrammes illustrant des résultats obtenus par un prototype virtuel de fiabilité d'un accéléromètre conforme à l'invention,

- la figure 5 est un schéma illustrant la modélisation des ressorts de rappel dans l' accéléromètre de la figure 3.

Comme représenté figure 1, un dispositif informatique selon l'invention comprend tout d'abord un prototype virtuel fonctionnel 1 représentatif des différents composants fonctionnels du microsystème, et de leurs interactions fonctionnelles. Les composants fonctionnels d'un microsystème sont ceux qui exercent une fonction spécifique dans l'utilisation de ce microsystème, à savoir notamment les capteurs et/ou actionneurs et/ou composants de traitement du signal et/sources d'énergie et/ou composants logiques et/ou autres.

Plus généralement, les composants fonctionnels sont ceux qui interagissent avec les entrées et sorties du microsystème, c'est-à-dire avec l'état des liaisons fonctionnelles établies entre le microsystème et son environnement extérieur - notamment avec les autres constituants d'un système dans lequel il est intégré- et par lesquelles sont transmises des entrées ou commandes (signaux, paramètres, données, actions, conditions, énergie, fournis au microsystème), et/ou des sorties ou mesures (signaux, paramètres, données, actions, conditions ou énergie, délivrés par le microsystème).

Les structures dites non fonctionnelles sont celles qui découlent du mode de fabrication, c'est-à-dire des choix technologiques en matériaux et assemblages de matériaux, indispensables à l'utilisation des fonctions :

- les supports physiques : substrats, connexions externes...,

- les interconnexions intérieures : électriques, fluidiques, mécaniques...,

- les assemblages : intégration monolithique ou assemblage hybride à plat ou par empilement 3D,

- les conditionnements qui définissent les caractérisations extérieures des produits : dimensions, revêtements...,

- les protections spécifiques : blindages, chocs, rayons... (cf. par exemple TECHNIQUES DE L'INGENIEUR, « Microsystèmes », pages E 2305-l à E2305-16).

Le prototype virtuel fonctionnel 1 est composé de modèles fonctionnels de tous les constituants fonctionnels du microsystème, et permet donc une représentation virtuelle complète et de toutes les fonctions de ce microsystème. Un tel prototype virtuel fonctionnel 1 peut-être obtenu par programmation par exemple en langage VHDL-AMS (http://www.vhdl-ams.org, http://www.eda.org/vhdl-ams/). D'autres outils logiciels et/ou langages de simulation peuvent être utilisés en variantes en combinaison, par exemple : Ptolemy® (http://Ptolemy.eecs.berkeley.edu/) ; Rosetta® (http://www.eda.org/slds-rosetta/) ; Esterel Studio

(http.7/www.inria.fr/valorisation/breves/T-Esterel-Stutio .fr.html) ; HiLeS® (F.Jimenez- Vargas, « Spécification et conception de microsystèmes basés sur des circuits asynchrones. étude d'un dispositif multicapteur intégré d'enregistrement de contraintes environnementales », thèse de doctorat, INSA Toulouse (France), novembre 2000) ; ANSYS® (http.7/www.cadfem.de/software/ansys/mems/ansys_mems.htm) ; MEMCAD® (http://www.coventor.com) ; intellisuite®

(http://www.intellisensesoftware.com) ; PSpice®

(http://www.orcadpcb.com/ρspice/default.asp?bc=F) ; Saber®

(http://www.synopsis.com); Sugar® (http://www- bsac.eecs.berkeley.edu/cadtools/sugar/sugar/) ; NODAS® (http://www.ece. cmu. edu/~mems/projects/~memsyn/nodasvl_4/index.shtml); Modelica® (Schwarz, Peter, Clauss, Christoph, Haase, Joachim, Schneider, André, « VHDL-AMS und Modelica -

ein Vergleich zweier Modellierungssprachen », 15. Symposium Simulationstechnik ASIM2001, 11.-14. Septembre 2001, Paderborn (Allemagne), p. 85-94) ; Verilog AMS® (www.eda.org/verilog-ams, J. Haase, T. Markwirth, C. Clauss, « Ein Vergleich der Modellierungssprachen VHDL-AMS, Verilog-AMS et und Modelica », Treffen der Fachgruppe « Simulation technischer Système » der AG Simulation ASIM, 10.-11. Mars 2003, UIm (Allemagne)) ; MAST® (http://www.synopsis.com); HDL-A® (http://www.mentor.com/eldo/eldods .pdf) .

Le prototype virtuel fonctionnel 1 est obtenu à partir d'un ensemble de spécifications concernant le microsystème (comprenant notamment une description du microsystème, des fonctions et des performances souhaitées pour ce dernier).

Un tel prototype virtuel fonctionnel 1 permet notamment d'optimiser toutes les fonctions du microsystème et de vérifier son bon fonctionnement dans une grande variété de situations. La comparaison entre les applications envisagées du microsystème et les différentes fonctionnalités de ce dernier permet de développer des vecteurs de tests qui sont appliqués au prototype virtuel fonctionnel 1 pour optimiser le microsystème.

Un tel prototype virtuel fonctionnel 1 ne permet pas de prendre en compte les effets d'assemblage et de conditionnement, ni ceux qui peuvent apparaître suite à certains défauts de réalisation technologique, dans les conditions d'utilisation et en fonction de l'environnement auxquelles le microsystème est soumis. Plus généralement, le prototype virtuel fonctionnel 1 proprement dit ne modélise pas les phénomènes de défaillance liés à des défaillances d'organes normalement (c'est-à- dire hors des cas de défaillance) passifs, c'est-à-dire normalement sans influence sur les entrées et sorties du microsystème. Ces phénomènes ne sont pas importants concernant le fonctionnement proprement dit du microsystème, ni l'optimisation de sa conception. Par contre, ils influencent directement sa fiabilité, et donc sa durée de vie. Ainsi, un prototype virtuel fonctionnel 1 ne permet pas de mesurer la fiabilité, et donc la durée de vie d'un microsystème.

Par exemple, un microsystème peut-être traditionnellement relié à l'environnement électronique qui l'entoure par un ou plusieurs fils en aluminium soudé(s) sur des plaquettes d'or. Dans le prototype virtuel fonctionnel 1, une telle connexion est représentée par un fil qui ne peut être sujet à aucune défaillance, et ne pose donc aucun problème. Or, en pratique, la transition entre l'aluminium et l'or peut poser des problèmes de fiabilité, et une rupture du fil peut apparaître. Ce type de défaillance doit être incorporé au prototype virtuel fonctionnel 1.

Pour ce faire, on réalise, à partir du prototype virtuel fonctionnel 1, un prototype virtuel de fiabilité 2.

Le prototype virtuel fonctionnel 1, ainsi que le prototype virtuel de fiabilité 2, peuvent être réalisés par simple programmation, sur tout système informatique. Ce faisant, en obtient un dispositif informatique selon l'invention. Dans tout le texte, l'expression « dispositif informatique » désigne de façon générale tout dispositif permettant l'exécution d'au moins un programme, et donc le traitement de données numériques. Il peut s'agir d'une seule et même machine telle qu'un ordinateur personnel, ou au contraire une pluralité de machines distinctes reliées en réseau, et plus généralement de toute architecture informatique plus ou moins centralisée ou plus ou moins décentralisée. Un dispositif informatique selon l'invention comprend au moins une interface homme/machine permettant la saisie de données en entrée pour le prototype virtuel de fiabilité 2 lors de son exécution pour un microsystème prédéterminé, et permettant de fournir à l'utilisateur les résultats délivrés par ce prototype virtuel de fiabilité 2. Un dispositif informatique selon l'invention comprend en outre des moyens de traitement d'informations (microprocesseurs), microcontrôleur(s), mémoires vives associées, unité(s) de traitement centrale («CPU»)...), et au moins une mémoire de masse pour l'enregistrement des paramètres et données en entrée et des résultats fournis en sortie.

Le prototype virtuel fonctionnel 1, ainsi que le prototype virtuel de fiabilité 2 peuvent se présenter sous la forme d'un programme préenregistré sur un support d'enregistrement, selon l'invention, de données numériques adapté pour

pouvoir être lu par un dispositif informatique, ce support comprenant des données formant au moins un tel programme apte à être chargé en mémoire vive d'un dispositif informatique et à être exécuté par ce dernier, de façon à former un dispositif informatique selon l'invention. Ce support d'enregistrement est une mémoire de masse, de préférence de type amovible et portative, par exemple un disque optique (CD-ROM, DVD...), un disque ou une disquette magnétique, une mémoire électronique (notamment une clé USB) ou autre.

Un prototype virtuel de fiabilité 2 selon l'invention est ainsi réalisé sous la forme d'un programme informatique composite comprenant un prototype virtuel fonctionnel 1, modifié et complété comme indiqué ci-après, de façon à obtenir un procédé de détermination de la fiabilité d'un microsystème conforme à l'invention.

Dans une première étape, on applique une étape 3 d'analyse de sensibilité au prototype virtuel fonctionnel 1. Cette étape 3 d'analyse de sensibilité permet de sélectionner dans le prototype virtuel fonctionnel 1 les paramètres pertinents pour l'évaluation de la fiabilité du microsystème. En effet, tous les paramètres n'ont pas la même influence sur la performance globale du microsystème. Cette étape d'analyse peut être effectuée soit par une méthode purement mathématique, soit par une méthode empirique d'analyse rétrospective.

Une méthode d'analyse de sensibilité purement mathématique donne comme résultat la sensibilité d'une propriété du système, comme par exemple la fonction de transfert H(p), vis-à-vis de la variation d'un des paramètres γ du modèle. Cette sensibilité peut être exprimée par la relation suivante :

H AH ôH

Oy - Um — — - — - δγ→o δγ dγ

En variante, on peut utiliser la sensibilité semi-relative, dont la formule est :

AH dH

Um λ - Y — —

y

Ou encore la sensibilité entièrement relative définie par

AH y y dH

Hm —

δγ→o H Ay H dγ

Si l'on connaît les sensibilités concernant tous les paramètres et leurs limites de tolérance, on peut évaluer la dérivée au pire des cas :

tous les paramètres

Des outils logiciels commerciaux connus d'analyse de circuits électriques, comme par exemple Saber® ou Spice®, offrent des outils intégrés pour faire des analyses de sensibilité. Spice®, par exemple, permet de calculer la sensibilité DC d'une sortie autour du point d'opération, ou la sensibilité AC pour les signaux faibles. La sensibilité d'un paramètre de sortie est calculée en tenant compte de tous les paramètres du modèle qui peuvent être variables. Chacun de ces paramètres est perturbé indépendamment des autres. Il s'agit d'une approche numérique et les résultats peuvent contenir des effets de deuxième ordre dans les paramètres très sensibles ou ne pas converger. Par exemple, les paramètres possédant une valeur nulle ne sont pas analysés.

Une fois les paramètres pertinents déterminés, on associe au prototype virtuel fonctionnel 1 au moins un module, dit module de vieillissement 4, adapté pour modéliser les lois de vieillissement des différents composants fonctionnels du microsystème, et ce en ce qui concerne les différents paramètres pertinents prédéterminés. Les lois de vieillissement dépendent notamment de la nature physique (mécanique, électrique, électronique, magnétique, optique, fluidique, chimique, biochimique...) de chaque composant fonctionnel concerné, et sont bien connues en elles-mêmes. Dans le domaine mécanique, les lois de vieillissement sont celles

concernant par exemple le fluage, la rupture sous contrainte ponctuelle, la fatigue, les propagations de fissures, les altérations de paramètres caractéristiques des matériaux (module d'Young, limite d'élasticité, contrainte de rupture...), l'usure,... Dans le domaine électrique, les lois de vieillissement sont celles concernant par exemple l'évolution des caractéristiques diélectriques, les phénomènes de décharge électrostatique, les dépassements de tension et/ou d'intensité,... D'autres lois de vieillissement peuvent prendre en compte les phénomènes de décollement de pièces normalement collées, les phénomènes de migration intempestive de matériau, la contamination du microsystème par des particules et/ou des gaz,...

Les modèles permettant de prendre en compte ces lois de vieillissement sont connus en même.

Par exemple, dans le cadre de la rupture sous contrainte ponctuelle, pour des matériaux fragiles, l'instant de la rupture est déterminé par le défaut cristallin le plus grand :

où σ/ est la contrainte de rupture, Y un paramètre sans dimension qui dépend de la géométrie du défaut et KIc la résistance à la rupture. La rupture survient sans qu'aucune déformation permanente ne se produise, autrement dit son comportement est presque exclusivement élastique jusqu'à la rupture.

Dans le cas du fluage, on utilise par exemple un modèle qui prend en compte chronologiquement trois phases successives distinctes : la déformation initiale à t=0 est simplement la réponse élastique à la contrainte appliquée. La première phase est caractérisée par un fluage transitoire avec un taux de fluage décroissant, car la résistance des matériaux augmente. La deuxième phase est caractérisée par un taux de fluage constant. La troisième phase est caractérisée par un taux de fluage qui augmente et qui se termine par la défaillance de la pièce.

Les mécanismes de fluage peuvent être décrits par une relation

empirique :

où r est une constante, εt la déformation à la limite entre la première phase de fluage et la seconde phase et εss est le taux de fluage constant de la deuxième phase. Pour déterminer ce type de fluage, on peut utiliser un modèle de type Arrhénius qui inclut également l'effet de la température :

-g è ss = λ σ "e RT où A est une constante, n est l'exposant de la contrainte, Q est l'énergie de l'activation pour le fluage, R est la constante universelle du gaz et T la température absolue. L'exposant de la contrainte n varie en fonction du mécanisme physique de fluage qui apparaît. Il est égal à 1 pour le fluage de diffusion et supérieur à 1 pour du fluage en loi exponentielle.

En ce qui concerne les phénomènes de fatigue, on sait que la durée de vie d'un composant dépendant d'un mécanisme de fatigue peut-être décrite par différentes équations. Parmi celles-ci, on peut citer :

La relation de Coffin :

N?xAε p = C où ε p est la déformation plastique, N r le nombre de cycles jusqu'à la rupture, et K une constante voisine de 0.5 pour les matériaux courants (aciers, ...). Cette relation est la plus simple pour décrire le lien entre une déformation plastique et le nombre de cycles jusqu'à la rupture. Elle est donc surtout utilisée dans le domaine de la fatigue oligocyclique (domaine initial de fatigue où les sollicitations sont les plus grandes et supérieures à la limite d'élasticité des matériaux) où la déformation plastique est grande.

La relation de Weibull :

N r (σ-σ D Y = A où N est le nombre de cycles jusqu'à la rupture, σ la contrainte périodique, σ D la limite d'endurance qui borne inférieurement ce domaine, n=l ou 2 selon le domaine. Cette relation décrit le comportement de fatigue dans la zone d'endurance limitée. La rupture apparaît après un nombre de cycles limité (10 5 à 10 7 ) sans qu'une déformation plastique mesurable n'apparaisse.

La relation de Bastenaire :

Ap ~C ( σ - σ D)

N r +B=— σ-σ D où σ D est la limite d'endurance inférieure de la zone d'endurance limitée et A, B, C sont des paramètres caractéristiques de la nature de l'acier et des conditions d'essai. Cette formule est également valable pour la zone d'endurance limitée.

Le modèle Coffm-Manson modifié :

où N r est le nombre de cycles jusqu'à la défaillance, f la fréquence de cyclage, δT la gamme de température pendant un cycle. Le modèle Coffm-Manson modifié permet de modéliser la croissance de fissures dans de l'étain utilisé pour les soudures ou d'autres métaux suite à un cyclage de températures suite aux allumages et arrêts successifs de l'équipement.

Souvent, les phénomènes de fatigue induisent des fissures dans les composants. Selon les applications, leur prise en compte est importante pour évaluer la durée de vie du microsystème. A partir de résultats expérimentaux, différentes lois pour décrire la vitesse de fissuration due à la fatigue en fonction des contraintes appliquées ont été avancées pour le domaine macroscopique. Ces lois sont souvent liées au nombre de cycles nécessaires pour arriver à une défaillance. Parmi celles-ci, on peut citer par exemple les formules suivantes :

Formule de Frost et Dugdale da . 3

= A σ dN où a est la longueur de la fissure, N le nombre de cycles et σ la contrainte maximale des cycles, A est une constante dépendant du matériau et de la contrainte moyenne. Cette formule n'est valable que pour des fissures petites par rapport aux dimensions de l'éprouvette.

Formule de McEvily et IHg

— = f (K t , σ n ) dN où K t est le facteur de concentration de contrainte élastique théorique de Neuber et σ n la contrainte nominale dans la section fissurée. Cette loi a été observée pour des pièces macroscopiques de différentes formes faites en différents alliages d'aluminium.

Formule de Boettner, Laird, McEvily

où A est une constante, ε t la déformation plastique totale par cycle, n voisin de deux pour tout genre de matériaux. Cette formule est seulement valable pour le domaine de la fatigue plastique oligocyclique (fatigue qui apparaît sous forte sollicitation où la rupture survient après un très petit nombre de cycles et est précédée d'une déformation plastique notable).

La relation de Paris

— = C (AK Y dN V J où δK est l'amplitude du facteur d'intensité de contrainte K et C et m des constantes qui dépendent du matériau. Cette formule est particulièrement adaptée pour décrire des phénomènes de fatigue dans le deuxième stade de fatigue.

L'usure apparaît dès que deux surfaces frottent l'une sur l'autre. Il existe quatre mécanismes principaux d'usure : adhésion, abrasion, fatigue ou corrosion. L'usure adhésive est le mécanisme prépondérant d'usure. L'usure abrasive ou corrosive apparaît sous l'effet de forces de contacts plus élevées ou suite à des réactions chimiques existant dans le processus d'usure. L'usure donne un taux de défaillances fatales précoces plus élevé.

Les techniques de lubrification utilisées dans les systèmes macroscopiques ne sont pas utilisables dans les microsystèmes. Par exemple, l'humidité peut soit accélérer l'usure, soit avoir des fonctions de lubrifiant.

L'usure adhésive fait adhérer deux surfaces rugueuses à leurs points les plus saillants. Ces points cassent et le matériau reste attaché à l'autre surface. Si ces dépôts de matériau ont atteint une certaine taille, ils se cassent et induisent une accumulation de débris. L'usure abrasive apparaît quand une surface dure et rugueuse glisse sur une surface plus molle et arrache des fragments de matériaux. Ce mécanisme prend surtout naissance en présence de particules positionnées sous les microstructures. La corrosion est le résultat d'une interaction chimique et/ou électrochimique et le frottement des deux surfaces arrache ensuite les produits de cette réaction.

Le volume de matériaux enlevé par l'usure adhésive peut être décrit par la relation suivante :

K- A TXf -L X

V AW

AW 3σ

où σ y est la limite d'élasticité du matériau, ICA W une constante d'usure du matériau (de l'ordre de 10 "5 à 10 "8 ), x la distance de glissement et F la charge sur le matériau.L 'usure abrasive peut être décrite par la même formule que l'usure adhésive, mais la constante k AW est de l'ordre de 10 "3 à 10 '6 . L'usure corrosive apparaît si deux surfaces ont des interactions chimiques. Leur description dépend donc des réactions chimiques impliquées, mais elle peut être modélisée de façon générale par :

n cw ~ ~ où h cw est la profondeur de l'usure et kcw le coefficient d'usure corrosive, de l'ordre de 10 "4 à 10 "5 .

Pour introduire ce mode de défaillance dans le prototype virtuel fonctionnel, on calcule le volume du matériau enlevé, qui donne une indication sur la taille des particules qui peuvent apparaître, puis on utilise les valeurs ainsi obtenues dans d'autres modèles de défaillance comme par exemple le modèle de contamination du microsystème par des particules solides, ou un modèle d'apparition de courts circuits.

La délamination apparaît quand l'interface entre deux matériaux perd son attachement adhésif. Ceci peut être lié à des défauts de fabrication ou à la fatigue cyclique. Les effets de la délamination peuvent être catastrophiques : elle peut modifier des caractéristiques mécaniques ou induire des courts-circuits.

Dans le prototype virtuel fonctionnel 1 on introduit le phénomène de délamination par une modification des modèles par éléments finis, en modélisant des circuits mécaniques et thermiques ouverts ou des courts-circuits électriques.

La contamination des structures des microsystèmes peut être due à des particules solides, qui peuvent poser des problèmes quand des parties en mouvement sont présentes dans les microsystèmes. Un autre problème de contamination provient des gaz qui peuvent, au cours du temps, remplacer les gaz inertes ou le vide présents à l'intérieur des emboîtements. Ces deux problèmes (contamination par des particules solides ou par des gaz) surviennent lorsque l'emboîtement n'est pas bien réalisé.

La représentation de particules solides dans le prototype virtuel fonctionnel 1 peut être faite comme indiqué par exemple par les publications R. Reichenbach, R Rosing, A. Richardson, A. Dorey « Finite Elément Analysis to Support Component Level Fault Modelling for MEMS », Proceedings of the Symposium of Design, Test, Intégration and Packaging of MEMS/MOEMS (DTIP 2001), 25.-27. avril

2001, Cannes-Mandelieu (France), SPIE vol. 4408, p. 147-158, ou Rosing, R. et al., « Génération of component fault models for MEMS », Microelectronics Journal 33,

2002, p. 861-868.

Rosing et Reichenbach présentent une poutre contaminée par une particule solide posée à sa surface. L'influence de cette particule sur les propriétés mécaniques est simulée et les simulations des différentes poutres contaminées forment une bibliothèque de composants défaillants des microsystèmes.

De même, on peut utiliser également un outil, dénommé CARAMEL® (cf. A. Kolpekwar, C. Kellen, R. D. Blanton, « Fault Model Génération for MEMS », Technical Proceedings of the 1998 International Conférence on Modeling and Simulation of Microsystems (MSM 98), 6.-8. avril 1998, Santa Clara (CA, USA), p. 111-116, ou A. Kolpekwar, T. Jiang, R. D. Blanton, « CARAMEL : Contamination and Reliability Analysis of MicroElectromechanical Layout », Journal of Microelectromechanical Systems, vol. 8, no. 3, September 1999, p. 309-318) pour l'analyse inductive des défaillances, qui facilite l'étude du comportement de microsystèmes défaillants et mène à une génération de modèles de défaillance. Cet outil automatise le flux de contamination. Il prend comme paramètres d'entrée des informations concernant le procédé de fabrication, la conception et la contamination, et analyse en retour l'impact de la contamination sur le comportement opérationnel des microsystèmes. Dans une première étape, le procédé de fabrication complet du composant avec des particules solides introduites d'une façon arbitraire est simulé. Ensuite, la structure mécanique du composant contaminé est extraite, et produit le maillage pour la simulation mécanique subséquente.

Un des modes de défaillance les plus importants dans les microsystèmes est le collage. Les microsystèmes sont tellement petits que les forces de surface peuvent dominer toutes les autres forces et coller des structures ensembles dès que celles-ci entrent en contact. Les forces de surface les plus importantes sont les forces de van der Waals, les forces capillaires et les forces électrostatiques.

Ces forces peuvent être décrites par des équations de la façon suivante :

Forces de van der Waals :

Le potentiel d'interaction entre des molécules liées par des forces de van der Waals peut être donné par :

UO-) = - où C est le coefficient de London et r la distance entre deux surfaces en regard. A partir de cette équation, on peut déduire les forces de van der Waals pour différentes géométries.

Forces capillaires F 1 A :

_ y L ( CO s Q 1 + CO S Q 2 )S

1/2 D où D est la distance de séparation de deux surfaces parallèles en regard avec une goutte de liquide entre elles, Q 1 , Q 2 sont les angles de contact de la goutte avec des surfaces, S est l'aire d'interaction, γ L la tension de surface du liquide.

Forces électrostatiques :

La force électrostatique F e ϋentre deux corps peut être décrite par l'équation suivante ε V 2

FeI (d ) = 2 d 2 où ε est la constante diélectrique de l'espace séparant les deux corps, V la différence de potentiel, d la distance entre les deux corps.

Pour des surfaces rugueuses, l'énergie d'interaction E; entre les surfaces peut être calculée par l'équation suivante : c ,.

E * = 0 J- Z T-HO^

où E; est liée au mécanisme i (par exemple, force capillaire), q/zi est la dépendance de l'amplitude de l'énergie d'interaction des surfaces avec la distance z et h(z) est la distribution de la distance entre les deux surfaces rugueuses.

Quand les contributions de toutes les différentes forces sont additionnées, on obtient l'énergie d'interaction des surfaces qui correspond à l'énergie nécessaire pour séparer les deux surfaces.

Les phénomènes de dégradation des caractéristiques diélectriques peuvent être modélisés de la façon suivante. Les couches diélectriques présentes dans les microsystèmes peuvent recevoir des charges électriques. Cette accumulation de charges électriques peut changer les tensions d'actionnement et le comportement mécanique du microsystème. Les sources de ce chargement électrique peuvent être les radiations ionisantes présentes par exemple dans l'espace, ou les hautes tensions utilisées pour actionner certains microsystèmes de façon électrostatique.

Les variations de tension d'actionnement induite par ces charges électriques peuvent être exprimées par exemple comme décrit par la publication Q. H. Duong, « Synthèse bibliographique sur les mécanismes de défaillance des microstructures », Laboratoire IEMN, Département Microsystèmes Silicium, Rapport d'avancement, Avril 2004.

Un autre mécanisme de défaillance dans un diélectrique est l'apparition d'un courant de fuite, pouvant causer des effets de dégradation et, en dernier lieu un claquage. Ce courant de fuite peut être décrit par une relation entre une grande densité de courant et la tension appliquée au diélectrique :

où Jo est une constante, T la température absolue, q la charge d'un électron, E le champ à travers le diélectrique et k la constante de Boltzmann.

Pour des densités de courant élevées, des atomes de la couche conductrice sont déplacés sous l'effet du courant dans la direction de l'anode. La migration du matériau peut induire des ouvertures de circuits ou au contraire des

assemblages de matériaux entre portions différentes de matériaux. Ce phénomène, dit « électromigration », est accéléré par les hautes températures et les hautes densités de courant.

L' électromigration dans des composants peut être décrite par exemple comme indiqué dans la publication van Spengen M. W., « MEMS reliability from a failure mechanisms perspective », Journal of Microelectronics Reliability, vol. 43, no. 7, juillet 2003, p. 1049-1060.

Le phénomène d' électromigration apparaît principalement dans les microsystèmes à courant continu, mais il peut également apparaître dans les microsystèmes à courant alternatif. Le temps moyen jusqu'à la défaillance MTTF lié à F électromigration peut être exprimé en fonction de la densité de courant J :

MTTF ~ \

Les exemples ci-dessus ne sont pas limitatifs, et d'autres lois de défaillances peuvent être prises en compte dans le module de vieillissement.

En outre, les inventeurs ont déterminé qu'il existe également des paramètres non fonctionnels, c'est-à-dire non liés aux composants fonctionnels du microsystème, et susceptibles d'entraîner également des défaillances fatales du microsystème. Il peut s'agir par exemple de paramètres liés aux technologies d'assemblage et de conditionnement du microsystème. Dès lors, dans un procédé et un dispositif informatique selon l'invention, on associe également au prototype virtuel fonctionnel, au moins un module, dit module de défaillance complémentaire 5, adapté pour pouvoir modéliser des phénomènes de défaillance autres que ceux liés aux défaillances des composants fonctionnels du microsystème.

à titre exemple, on peut citer les défauts qui peuvent intervenir à l'interface entre un plot de connexion en or auquel est connecté un fil en aluminium, ou vice versa. En effet, au niveau d'une telle interface, sous l'effet du courant et de la température, la création lente d'un alliage peut survenir. Cet alliage conducteur ne dégrade pas nécessairement les performances électriques de la connexion. Toutefois,

elle en réduit la qualité mécanique et provoque une augmentation lente de la résistance. La proportion des vides (liés à l'effet de Kirkendall) augmente progressivement, jusqu'à entraîner une cassure de la connexion, et donc une défaillance du composant. Une telle défaillance ne peut pas être prise en compte uniquement dans le prototype virtuel fonctionnel 1. Il convient donc d'introduire un modèle complémentaire 5 dans le prototype virtuel fonctionnel sous la forme d'un composant supplémentaire comprenant une résistance en série avec un interrupteur. La résistance est utilisée pour décrire le mécanisme d'évolution dans le temps de la résistance du contact. L'interrupteur permet de modéliser la rupture.

Là encore, les exemples donnés ci-dessus ne sont pas limitatifs et d'autres phénomènes de défaillance liés à des organes ou structures non fonctionnels, peuvent être pris en compte dans un module de défaillance complémentaire. Plusieurs modules de défaillance complémentaires peuvent être prévus, chacun intégré en tout ou partie dans le prototype virtuel fonctionnel 1 et/ou dans le module de vieillissement 4 et/ou indépendamment de ce prototype virtuel fonctionnel 1 et du module de vieillissement, directement dans le prototype virtuel de fiabilité 2.

Par ailleurs, le prototype virtuel fonctionnel 1 et/ou le module de vieillissement 4 et/ou le module de défaillance complémentaire 5 est(sont) adapté(s) pour modéliser des paramètres physiques représentatifs des conditions d'utilisation et de l'environnement dans lequel le microsystème est destiné à être utilisé. Ces paramètres peuvent être par exemple choisis parmi : la température ; la pression ; la structure et/ou l'état et/ou la nature et/ou la concentration des constituants de l'espace environnant le microsystème ; la nature et/ou la quantité des rayonnements auxquels le microsystème est soumis ; les caractéristiques des sollicitations mécaniques auxquelles le microsystème est soumis.

La représentation de ces paramètres d'environnement se fait différemment selon les contraintes d'utilisation et/ou environnementales appliquées. Par exemple, pour la température, la modélisation peut être effectuée par l'introduction d'une source de température. Les effets liés à une variation de l'environnement gazeux

peuvent être modélisés par des atténuations, c'est-à-dire par des changements des paramètres correspondants ou à travers une variation de contraintes par l'introduction de forces supplémentaires (par exemple pour simuler un boîtier rempli de gaz qui se trouve placé soudainement dans un environnement à faible pression, induisant de fortes contraintes sur le boîtier). Par ailleurs, les sollicitations mécaniques peuvent être modélisées de façon connue en soi. Il peut s'agir par exemple de chocs, qui peuvent être modélisés par accélérations variant dans le temps sous forme de demi-sinus, de rectangles ou de dents de scie. Il peut également s'agir de vibrations qui, lorsqu'elles sont périodiques, peuvent être décomposées en séries de Fourier.

Une fois les conditions environnementales et/ou d'utilisation modélisées, on applique un jeu 7 de valeurs représentatives des conditions réelles pour réaliser la simulation à partir du prototype virtuel.

Le module de vieillissement 4 est par ailleurs paramétré avec un ensemble de paramètres 6 comprenant les valeurs géométriques qui décrivent la forme du microsystème, et des paramètres décrivant les matériaux et les procédés de fabrications qui sont utilisés. Ces paramètres sont obtenus soit à partir de la fiche technique du microsystème lorsque cette dernière est disponible, soit à partir de mesures effectuées directement sur un exemplaire de ce microsystème.

Comme on le voit figure 1, on obtient un prototype virtuel de fiabilité 2 apte à déterminer l'évolution du comportement fonctionnel du microsystème au cours du temps, et en particulier sa durée de vie 8 à partir de l'exécution d'une simulation 9 grâce à ce prototype virtuel de fiabilité 2.

Exemple 1 :

Dans cet exemple, on réalise un prototype virtuel de fiabilité d'un microsystème comprenant essentiellement une poutre 20 encastrée à ses deux extrémités 21, 22 sur des pistes conductrices déposées sur un substrat 23 de silicium comme représenté sur les figures 2a et 2b. Cette poutre 20 est par exemple fabriquée en or (si le microsystème est un interrupteur), ou en polysilicium. Dans l'exemple représenté, elle couvre une électrode 24 par l'intermédiaire d'une épaisseur d'air 26.

L'électrode 24 supporte une épaisseur de matériau diélectrique 25. Une source de tension 27 permet d'appliquer une tension V entre la poutre 20 et l'électrode 24. Cette électrode 24 sert à actionner la poutre 20 de manière électrostatique, de façon à réaliser un interrupteur commandé en tension. Comme on le voit figure 2a, lorsque la tension d'actionnement V est nulle, la poutre 20 est maintenue à distance de l'électrode 24, de sorte que le circuit est ouvert. Lorsque la tension d'actionnement V est supérieure à zéro, la poutre 20 est appliquée sur l'électrode 24, et le circuit est fermé. Cet exemple est volontairement simplifié en ce sens qu'un microsystème est en général beaucoup plus complexe qu'une simple poutre formant un interrupteur. Il permet néanmoins d'illustrer un procédé de détermination informatique de la fiabilité de ce microsystème conforme à l'invention.

Dans cet exemple, on simule le chargement du diélectrique 25 à l'origine d'une variation de la tension d'actionnement V. Le modèle d'interrupteur utilisé peut être décrit par l'équation suivante :

où m est la masse, u le déplacement de la poutre 20, k est la raideur du ressort formé par la poutre 20, w p la longueur de la poutre 20, w s la largeur de l'électrode 24, ε 0 la constante diélectrique de l'air, V la tension d'actionnement, g la distance entre l'électrode 24 et la poutre 20, ε r est la constante diélectrique du diélectrique 25, t d l'épaisseur du diélectrique et b le coefficient d'amortissement.

Si on induit des charges dans le diélectrique 25 la tension d'actionnement se décale. Le décalage peut être décrit par :

où pp sont les charges parasites, C g la capacité de l'épaisseur d'air 26, C d la capacité du diélectrique 25. Dans la réalité, cette introduction de charges

peut se faire par des mécanismes variés, tels que par exemple des radiations ou une polarisation des composants pendant un temps assez long.

En fonction du signe des charges, leur introduction fait augmenter ou diminuer la tension d'actionnement V. Par exemple, si l'introduction de charges se fait très rapidement linéairement avec le temps et elle induit une baisse de la tension d'actionnement, V, au bout de quelques cycles, on observe un actionnement de l'interrupteur qui ne se faisait pas auparavant.

Pour prendre en compte ce type de défaut dans le prototype virtuel fonctionnel représentant un tel composant, on introduit des variables réelles représentant la quantité de charges induites, la tension de décalage V p , et les différents paramètres des formules (I) et (II) mentionnées ci-dessus. Et on remplace dans la formule (I) la tension V par V+ V p , de façon à utiliser la nouvelle formule (F) modélisant la fiabilité indiquée ci-dessous :

L'annexe 1 donne un exemple de structure de code source d'un prototype virtuel de fiabilité selon l'invention correspondant à cet exemple. La variable u charge représente Vp.

Exemple 2 :

Dans cet exemple, on réalise un prototype virtuel de fiabilité d'un microsystème formé d'un accéléromètre dont le schéma de principe est représenté figure 3. Cet accéléromètre est par exemple le microsystème référencé ADXLl 50, et est commercialisé par la société Analog Devices (Norwood,USA).

L 'accéléromètre ADXLl 50 est un microsystème capteur qui permet de mesurer des accélérations comprises entre -50g et +5Og. Ce capteur est fabriqué par micro-usinage en surface et est composé d'un circuit électronique associé à la technologie BiCMOS . Le capteur est réalisé par des poutres fixes 31 formant des doigts et une barre centrale 30 mobile en polysilicium tenant des poutres mobiles 32

formant des doigts. Cette structure présente ainsi des peignes à doigts intercalés, et permet la mesure de l'accélération par un principe de mesure capacitif. La structure mobile est reliée à des couches inférieures d'une partie fixe 33 en deux endroits, par l'intermédiaire d'ancrages, formant des ressorts de rappel élastique de la structure mobile en position neutre.

La tension de sortie du capteur dépend de la variation de la capacité qui existe entre les poutres fixes 31 et la structure mobile 30, 32. Lorsqu'une accélération est appliquée, le mouvement de la structure mobile va générer une modification de la capacité ainsi qu'une modification de la tension de sortie. Les peignes qui se trouvent au milieu de la structure mobile sont ceux utilisés pour la mesure de capacité. Des portions de peignes qui se trouvent aux extrémités de la partie mobile sont utilisées pour effectuer un auto-test. Pour effectuer cet auto-test, des tensions électriques sont appliquées entre les électrodes et on mesure la variation de capacité de la partie mobile qui se déplace sous l'effet du champ électrique.

L'accéléromètre peut-être modélisé par la relation directe entre la grandeur à mesurer et le signal obtenu à la sortie du capteur, à partir de l'équation donnée dans la fiche technique, qui décrit la relation entre l'accélération appliquée et la tension de sortie :

V - Vs S Vs - a

où V 8 est la tension d'alimentation, a est l'accélération, et S la sensibilité. La valeur de la sensibilité est donnée dans la fiche technique (par exemple 38 mV/g). A cette valeur s'ajoutent 0.3V si l'autotest est activé. L'autotest permet de vérifier que la partie mobile de l'accéléromètre est bien mobile. Le modèle a des terminaux mécaniques pour appliquer l'accélération et des terminaux électriques pour alimenter le composant et récupérer la valeur de sortie de l'accéléromètre.

Le modèle comportemental :

Les données géométriques et technologiques, sont introduites dans le modèle comportemental du microsystème. La partie mécanique d'un

accéléromètre avec des peignes intercalés peut-être modélisée par un oscillateur harmonique amorti régi par l'équation : mx + Dx + kx = F ext = ma ext où m est la masse de la structure mobile, D le coefficient d'amortissement du mouvement de la structure mobile par rapport à la partie fixe, celui-ci étant supposé proportionnel à la vitesse, h la raideur du rappel élastique de la structure mobile, x le déplacement de la structure mobile et a ext l'accélération externe appliquée. La fréquence de résonance naturelle de ce système s'exprime alors par ω r = -Jk / M .

La source majeure de bruit, et donc l'effet qui limite l'accélération minimale pouvant être détectée, est le bruit thermique. Celui-ci a pour origine le mouvement brownien du rappel élastique, des ancrages et des molécules d'air qui se trouvent autour de la structure mobile.

Comme indiqué précédemment, les électrodes en peignes définissent des capacités différentielles C 1 et C 2 comme représenté figure 3. Leur modélisation peut être réalisée par les équations suivantes :

où A désigne l'aire des parois des électrodes en regard et do la distance au repos entre les deux électrodes.

Il faut également tenir compte des forces électrostatiques que l'on trouve entre deux peignes. Celles-ci se décrivent par :

où V 1 est la tension appliquée aux bornes de la capacité C 1 et V 2 celle appliquée aux bornes de C 2 .

Dans ce modèle le coefficient d'amortissement est calculé comme une combinaison entre le flux de couette, le flux de Stokes et le flux de Hagen- Poiseuille :

où A pm est la surface de la structure mobile et des peignes, A t la surface des ressorts et A^ la surface des peignes. Les ressorts et les peignes se déplacent en moyenne à la moitié de la vitesse de la structure mobile, d/ est l'épaisseur de la couche d'air entre la structure mobile et le substrat fixe, c> la profondeur de pénétration, μ la viscosité de l'air, / la longueur des peignes, t l'épaisseur des peignes, g le jeu entre deux peignes et N/ le nombre de peignes.

La raideur des ressorts est calculée en considérant ceux-ci comme un assemblage de poutres a, b, c comme représenté figure 5.

Avec ces hypothèses la constante de raideur du ressort se calcule en fonction de ses dimensions géométriques et du Module de Young. On obtient :

k = Et4[5ltw a e +8l a 3 l b w a 3 w b 3 +l a 4 w b 6 +6l a 2 llwl{lwl + w b 3 )+4lj b 3 w a 3 [3w a 3 + wλ 3 X 2l b 3 [2iy a +23lJ 3 b w a 3 w b 3 +4/>| +3ï^w a 3 [w a 3 +5w b 3 )+l a l b 3 w a 3 {3w a 3 +7w b 3 ))

E est le module de Young, t l'épaisseur du ressort, l a et l b (simplification l b = l c ) sont les longueurs des poutres a et b, w a et W b (simplification W b =w c ) les largeurs des poutres.

Les simulations par éléments finis permettent de déterminer les paramètres de Paccéléromètre tel que la raideur des ressorts et les fréquences de résonance. Ces paramètres sont utilisés pour compléter le modèle comportemental (dans le cas de la raideur) et pour valider le modèle développé (dans le cas de la fréquence de résonance).

Ces simulations ont été effectuées avec le logiciel MEMCAD® commercialisé par la société Coventor. à partir des analyses technologiques, on crée les masques de la partie mécanique, on fait une description de la technologie utilisée, et on insère les paramètres des matériaux dans le prototype virtuel.

Lors de la détermination du déplacement en fonction des accélérations appliquées, on observe que le déplacement de la partie mobile est très faible : pour une accélération de 10g, il est à peu près de 5 nm. Même pour des accélérations très grandes, 2000g étant la limite supérieure spécifiée dans la fiche technique, la partie mobile n'atteint pas encore les butées, et le déplacement reste en dessous de 1 μm.

Les modes de résonance ont également été calculés. Pour valider les résultats des analyses technologiques ainsi que le modèle basé sur les éléments finis qui a été conçu, on compare ces modes de résonance avec la fréquence de résonance indiquée dans la fiche technique. Le mode de résonance indiqué dans la fiche technique est situé à une fréquence de 24 kHz. Si on compare cette valeur avec les valeurs obtenues pour les modèles, on observe que les erreurs sont très faibles : 4 % pour un module de Young de 140 GPa et 1,2 % pour un module de Young de 150 GPa.

La partie électronique :

La partie électronique du modèle comportemental peut-être décrite par exemple par l'outil HiLeS®.

Le principe de fonctionnement de la partie électronique est une démodulation synchrone. Si on considère la partie mobile de l'accéléromètre comme une capacité différentielle avec une plaque mobile au milieu, des signaux en opposition de phase sont appliqués sur les deux plaques fixes. Sur la plaque mobile on obtient ensuite un signal modulé en fonction de la variation de la capacité. Ce signal est ensuite amplifié, démodulé et filtré. Afin d'ajuster le bon niveau de tension de sortie, une valeur de décalage est ajoutée.

La modélisation des différents éléments est faite par des équations analytiques. Le filtre peut être décrit à travers la fonction de transfert d'un filtre passe-bas :

p + a où a et b déterminent la fréquence de coupure et le gain. Le démodulateur est décrit par la relation suivante :

u out ~ U-inl Uin2 où u out est la tension de sortie et u; nl et Uj n2 sont les tensions d'entrée : l'une venant d'une horloge, l'autre de la partie mécanique de l'accéléromètre.

L'amplificateur multiplie un signal d'entrée avec un facteur d'amplification K : u out = K*Uj n .

L'horloge génère un signal de 100 kHz qui est appliqué aux peignes qui servent à mesurer les variations de capacité.

Si on applique à l'accéléromètre une excitation, on peut simuler son comportement lorsqu'une accélération est appliquée. Le signal passe par la partie mécanique et on obtient en sortie un signal électrique modulé qui tient compte de l'accélération appliquée. Après avoir été démodulé, le signal passe par un filtre passe-

bas, et oscille autour de OV. Après avoir ajouté le décalage de 2.5 V, on obtient la tension de sortie.

On donne en annexe 2 ci-après un exemple de structure de code source d'un prototype virtuel fonctionnel correspondant à cet accéléromètre.

Disposant ainsi d'un prototype virtuel fonctionnel de l'accéléromètre, on détermine ensuite les paramètres sensibles pour la fiabilité. Deux méthodes peuvent être utilisées pour ce faire :

• la première méthode consiste à simplement connaître les paramètres les plus sensibles et les évolutions dans les conditions d'utilisation, à partir de la modélisation fonctionnelle du microsystème. Cette limitation du nombre de paramètres allège les calculs et permet d'inclure les effets des dérives, en cours d'utilisation de ces paramètres sensibles,

• la deuxième méthode consiste à utiliser uniquement une analyse technologique.

Dans la première méthode d'analyse des paramètres sensibles, tous les paramètres fonctionnels du microsystème ont été modifiés de 20 % en simulation et on observe la variation de l'amplitude de la tension de sortie. Ensuite, on calcule la sensibilité S avec le paramètre P et la tension de sortie Vs de la façon suivante : S = (δP/P)/(δV S /V S ).

Les résultats obtenus sont donnés dans le tableau ci-après.

Comme on le voit, la tension de sortie est insensible à des variations de la masse m, de l'aire de la surface d'électrode A, ou du coefficient d'amortissement D. Elle est peu sensible à la longueur l a et à la largeur w a d'une des poutres a qui constituent le ressort. Enfin, elle est très sensible aux variations du module de Young E, à l'épaisseur de la partie mobile en polysilicium t, à la distance entre deux peignes do et aux dimensions des deux autres poutres b et c du ressort (largeur W b et longueur l b) .

A partir de cette analyse, on voit que l'influence de contraintes environnementales sur E, W b , I b , t, d 0 doit, dans tous les cas, être considérée. La prise en compte des contraintes sur w a et l a est discutable et celle sur A, d, m est négligeable.

L'analyse de sensibilité selon la deuxième méthode consiste à désigner les modes de défaillance qui n'apparaissent pas dans le prototype virtuel fonctionnel mais qui peuvent apparaître suite à l'interaction entre la réalisation technologique du microsystème et les contraintes environnementales qui agissent sur le microsystème. Comme pour la création du modèle physique, on limite dans cet

exemple l'analyse de sensibilité à la partie mécanique du capteur. Cependant, le cas des fissures dans le scellement du boîtier est également pris en compte.

Le tableau ci-après présente une liste de points faibles qui peuvent apparaître sur l'accéléromètre ADXLl 50. Ces points faibles peuvent être classés selon leur influence sur le fonctionnement global du capteur microsystème : une défaillance paramétrique induit une variation de la tension de sortie, une défaillance fatale rend l'accéléromètre inutilisable.

Fissures dans le scellement du boîtier :

Les fissures possibles dans le scellement du boîtier de l'accéléromètre peuvent apparaître suite à des contraintes mécaniques. Ce mode de défaillance a de l'importance pour la partie mécanique de l'accéléromètre car, suite à ces fissures, l'atmosphère interne du boîtier varie. Cela augmente le risque de défaillances pour tous les organes du microsystème. L'humidité par exemple peut rentrer dans le boîtier et augmenter le risque de collage de la partie mécanique.

Fatigue et rupture :

Les contraintes mécaniques répétées sur la partie mécanique de l'accéléromètre peuvent créer des effets de fatigue et des ruptures. De nombreuses études ont montré que le polysilicium (matériau constituant la partie mobile) peut subir ces effets de fatigue. Dans le cas de l'accéléromètre ADXLl 50, la partie mécanique

n'est pas asservie en position et peut donc en principe fatiguer. La fatigue induit dans un premier temps des variations des propriétés des matériaux : raideur par exemple et donc des variations paramétriques de la tension de sortie. Dans un deuxième temps, elle va induire, par la rupture, une défaillance fatale. La rupture est dans tous les cas fatale, soit parce qu'une partie fonctionnelle est détruite, soit parce que les débris créent des courts circuits et empêchent la partie mécanique de se déplacer. La rupture peut non seulement apparaître suite à la fatigue, mais aussi suite à une contrainte ponctuelle trop grande (choc par exemple).

Une défaillance liée à la fatigue n'est pas obligatoirement immédiatement fatale : dans une première phase la fatigue induit des variations paramétriques du module de Young, dans une deuxième phase elle mène à la rupture et donc à la défaillance fatale. La description de la fatigue à l'intérieur du prototype virtuel de fiabilité doit donc contenir deux parties : une première qui calcule la variation du module de Young en fonction des contraintes subies jusqu'à un certain instant et une deuxième qui surveille si le nombre maximal de cycles n'est pas encore atteint.

Chargement des diélectriques :

Les radiations peuvent induire des variations de la tension de sortie. Quand Paccéléromètre est exposé aux radiations à partir d'une source de Co60, on observe, avec un débit de 0.1 rad/s, une défaillance complète de Paccéléromètre dès qu'une dose cumulée de 27 krad est atteinte. La défaillance peut être d'origine électronique ou d'origine mécanique.

Contamination particulaire et moléculaire :

Une contamination particulaire peut induire des défaillances quand des particules ou des molécules sont présentes dans le boîtier de l'accéléromètre. Ces constituants chimiques peuvent attaquer la partie mécanique en polysilicium et produire des réactions chimiques. Les particules peuvent induire différents modes de défaillance en fonction de leur localisation : courts circuits, variations de la fréquence de résonance....

L'importance de la défaillance dépend de l'endroit de la puce où la contamination s'installe. Elle peut être négligeable, paramétrique ou fatale.

Collage :

Des taux d'humidité élevés et des contraintes électrostatiques peuvent induire des problèmes de collage. On observe que le risque de collage augmente dès que l'électrode mobile n'est plus sous atmosphère sèche, mais dans un environnement plus humide. On observe également que des manipulations avec un faisceau d'ions focalisé impliquent toujours un certain risque de collage de la partie mobile, qui peut être lié aux chargements électrostatiques qui interviennent. Le collage apparaît soit entre les peignes soit entre la partie mobile et les couches qui se trouvent en dessous. Dans les deux cas, les conséquences sont fatales : Paccéléromètre n'est plus utilisable.

Variation des propriétés mécaniques :

La raideur de structures en polysilicium varie en fonction du nombre de cycles que celles-ci ont subit. On introduit des modèles connus prenant en compte cette variation de raideur dans le prototype virtuel de Paccéléromètre.

Pour ce faire, il suffit de remplacer dans le prototype virtuel fonctionnel écrit en VHDL-AMS, la constante k qui représente la raideur, par une variable k(t) qui dépend du temps. La description de k se fait en fonction de résultats expérimentaux. Après interpolation, on obtient une fonction à introduire dans le prototype virtuel.

Nombre de cycles maximum Nmax :

Le calcul du nombre de cycles maximum dans le prototype virtuel de fiabilité se fait par introduction d'un module de défaillance complémentaire. Ce module doit, à tout moment, décider si le nombre maximal de cycles N max est déjà atteint ou pas. Dans l' affirmative, la défaillance est fatale.

Des études ont montré que, dans le cas du polysilicium, la durée de vie relative au phénomène de fatigue est liée au nombre de cycles d'utilisation et non au temps pendant lequel le composant est exposé à des contraintes. Il semble

qu'aucune limite d'endurance n'existe. A partir de ces résultats, le nombre de cycles jusqu'à la défaillance lors d'un chargement cyclique peut être décrit par l'équation suivante

où N f est le nombre de cycles jusqu'à la défaillance, σ f la contrainte maximale appliquée lors de chaque cycle, σ c la contrainte de rupture des structures en polysilicium à l'état initial, et m=-0.02 valeur dérivée de résultats expérimentaux. Cette relation est valable pour une grande variété de dispositifs en polysilicium.

Les valeurs pour σ c obtenues par différentes études sur la fatigue du polysilicium varient en fonction de la taille et de l'apparence des véhicules de test utilisés et en fonction du procédé de fabrication. Dans l'exemple, il est impossible de déterminer une valeur précise, car on ne dispose pas de véhicules de test appropriés. On utilise donc une valeur de 1.1 GPa préconisée dans l'état de la technique.

Pour pouvoir introduire ces données dans le prototype virtuel fonctionnel, on doit connaître les contraintes à l'intérieur de la partie mécanique lorsqu'une accélération est appliquée. Pour ce faire, on peut utiliser un modèle de simulation par éléments finis.

On peut alors observer des valeurs σ c de l'ordre de quelques dixièmes de mégapascals lors d'un fonctionnement « normal » et des valeurs σ c de l'ordre de 40 mégapascals si l'accéléromètre est exposé à des accélérations de 2000g.

Toutes ces données sont introduites dans le prototype virtuel. Le module de défaillance complémentaire se présente de la façon suivante : le paramètre d'entrée est une accélération appliquée avec une amplitude constante. Le nombre de cycles maximal Nmax est calculé en fonction de la contrainte liée à cette valeur d'accélération. On compte ensuite le nombre de cycles déjà parcouru. A chaque cycle une comparaison est faite pour déterminer si le nombre de cycles maximal est déjà

atteint. Dans l'affirmative, le signal indiquant une défaillance fatale passe à 1. Dans la négative, Paccéléromètre continue à fonctionner.

On a pu obtenir les résultats suivants. On a appliqué une sollicitation mécanique sous la forme d'un chargement cyclique sinusoïdal. Suite au phénomène de fatigue, la raideur du ressort de Paccéléromètre a varié en fonction du temps. Cette variation de la raideur a induit également une variation de la tension de sortie de Paccéléromètre. Quand le nombre de cycles maximal a été atteint, une défaillance fatale est apparue. Le prototype virtuel de fiabilité est ajusté pour que, dans ce cas, la tension de sortie passe à zéro. Dans l'essai réalisé, cet événement est arrivé à 200 ms.

On a ensuite considéré l'exemple du collage induit par un taux d'humidité élevé dans le boîtier. Cette augmentation du taux d'humidité peut, par exemple, apparaître si des fissures sont présentes dans le scellement du boîtier. Evidemment le collage peut également apparaître suite à d'autres phénomènes, comme par exemple l'attraction électrostatique.

Dans le cas d'une combinaison entre un choc mécanique et un taux d'humidité élevé, les forces de capillarité entraînent un collage de la partie mobile. Dans ce cas, la partie mobile de la partie mécanique colle aux couches situées en dessous. L'accéléromètre devient inutilisable suite à cette défaillance fatale.

Pour éviter la défaillance fatale, on évalue le taux d'humidité auquel Paccéléromètre peut être exposé sans coller. La raideur de Paccéléromètre orthogonal à la puce est évaluée avec une simulation par éléments finis. Elle est dans la gamme de quelques Newtons par mètre.

L'introduction des résultats obtenus dans le prototype virtuel de fiabilité se fait par introduction d'un module de défaillance complémentaire dans le prototype virtuel fonctionnel de Paccéléromètre. Ce module de défaillance complémentaire tient compte de paramètres supplémentaires qui sont importants pour la fiabilité (taux d'humidité, ...) y compris des paramètres de sortie supplémentaires par rapport au prototype virtuel fonctionnel (défaillance oui/non,...).

On réalise ce module en VHDL-AMS, en ajoutant des signaux supplémentaires dans la partie entité et des conditions « si » dans l'architecture. Un exemple de code source est présenté dans le listing donné ci-après en annexe 2. Dans un premier temps, le programme vérifie si le taux d'humidité est suffisamment grand pour que du collage apparaisse. Cette description se fait par une condition « si ». Ensuite une deuxième condition « si » vérifie si un choc appliqué est suffisamment grand pour induire le collage de la partie mécanique ou pas. Dans le cas positif, la variable « collage » informe l'utilisateur en passant à 1.

On donne ci-après en annexe 3 un exemple de structure de code source d'un prototype virtuel de fiabilité correspondant à cet accéléromètre et prenant en compte :

- un module de modélisation de la fatigue des peignes dans lequel la constante de raideur k est une variable, dont un exemple de structure de code source est donné en annexe 4 ci-après,

- un module de calcul du nombre de cycles maximum Nmax, dont un exemple de structure de code source est donné en annexe 5 ci-après,

- un module de modélisation de l'influence de l'humidité et des chocs sur le collage, dont un exemple de structure de code source est donné en annexe 6 ci-après.

Les résultats de simulation concernant l'apparition d'un collage suite à des variations de taux d'humidité sont les suivants. L' accéléromètre est soumis à des vibrations sinusoïdales de direction z orthogonale à la puce. Dans un premier cas (figure 4a) le taux d'humidité est relativement bas, par exemple autour de 10%. Dans un deuxième cas (figure 4b) l' accéléromètre se trouve dans un environnement très humide, par exemple avec un taux d'humidité de 90 %.

Les résultats obtenus illustrés sur les figures 4a et 4b (qui sont des courbes représentant le déplacement z de la partie mobile en fonction du temps) démontrent que la modélisation par le prototype virtuel de fiabilité selon l'invention rend compte de la réalité : le collage apparaît dans le deuxième cas mais non dans le

premier. Dans le premier cas la partie mobile est freinée par les couches inférieures, mais repart lorsque l'accélération varie. Dans le deuxième cas, la partie mobile touche une fois les couches inférieures et reste collée.

ANNEXES

© 2004 CNES

Tous droits réservés. La reproduction intégrale ou partielle, permanente ou provisoire, par tout moyen ou sous toute forme, la traduction, l'adaptation, l'arrangement, l'exploitation à titre onéreux ou gratuit des programmes reproduits ci-après, sont strictement interdits sans l'autorisation écrite préalable du CNES.

ANNEXE l

Entity

Generic (Déclaration de variables) ;

Port (broches électriques, broche de charge) End ;

Architecture

Déclaration de constantes (dont Cd et Cg) ; Déclaration de quantités électriques, mécaniques, réels fonctionnels, u charge;

Begin

Autres équations fonctionnel

End ;

ANNEXE2

Entity accelero_fonctionnel

Generic (Déclaration de variables) ;

Port (broches mécaniques, électriques) End accelero fonctionnel;

Architecture

Définition des terminaux, quantities, signaux ;

Begin peignes : ENTITY peignes ... generic map (...) Port map( vl : ENTITY voltageSource ... GENERIC MAP (...) PORT MAP (...) v2 : ENTITY voltageSource ... GENERIC MAP (...) PORT MAP (...) ampli: ENTITY amplificateur GENERIC MAP (...) PORT MAP (...) ; dem : ENTITY demodulator ... PORT Map (...) ; filter: entity filter port map (n_out, n6) ; v3 : ENTITY voltageSource (de) GENERIC MAP (...) PORT MAP sum: ENTITY somme ... PORT map (...) ;

End

ANNEXE3

Entity accelero_fiabilite

Generic (Déclaration de variables) ;

Port (broches mécaniques, électriques) End accelero fiabilte;

Architecture

Définition des terminaux, quantités, signaux ;

Begin peignes : ENTITY peignes_fatigue generic map (...) Port map(...,accélération,...) ; vl : ENTITY voltageSource ... GENERIC MAP (...) PORT MAP (...) v2 : ENTITY voltageSource ... GENERIC MAP (...) PORT MAP ampli: ENTITY amplificateur GENERIC MAP (...) PORT MAP •); dem : ENTITY demodulator ... PORT Map (...); filter: entity filter port map (n_out, n6) ; v3 ENTITY voltageSource (de) GENERIC MAP PORT MAP •); sum ENTITY somme ... PORT map (...) ; com entity compteur ... port map (...) pd entity peakdetector ... port map (...accélération, acc_max...) dv Entity n_max ... Generic map (...) Port map (N, acc_max, def cata) hum Entity humidité ...Generic map (...) Port map (...) ;

End ;

ANNEXE 4

Entity peignes_fatigue

Generic (Déclaration de variables) ;

Port (broches mécaniques, électriques, accélération, signal count) ; End peignes_fatigue;

Architecture

Déclaration de quantités mécaniques, électriques; i_sup, v_sup courant,tension entre plaque supérieure/au milieu ; i_inf, v_inf courant,tension entre plaque inférieure/au milieu ;

Begin k = polynom (temps) ; count = 1 si plus grand qu'un valeur de seuil, si non 0 mx + Dx + k(t)x = F

ε O ^ i _ inf - v d inf

0 X

End ;

ANNEXE 5

Entity n_max

Generic (Déclaration de variables) ;

Port (N, accjtiax, defaillance_catastrophique) End n max;

Architecture Begin

Contrainte = f (acc_max) ;

1 σ

N / m f σ si ν < ν__max défaillance_catastrophique 0.0 ; else défaillance_catastrophique 1.0 ; end ; pnH

ANNEXE6

Entity humidité

Generic (Déclaration de variables) ;

Port (broches mécaniques dir z, broche humidité) ;

End humidité;

Architecture

Déclaration de constants z_max : distance partie mobile/couches inférieures ;

RH_col : raideur à partir laquelle collage apparaît Déclaration de quantités mécaniques, humidité, réel; z : déplacement partie mobile en z ;

RH : taux d'humidité ; collage : collage oui (1) ou non (0) ; bute : partie mobile touche couches inférieures oui

(1) ou non (0) ;

Begin bute 1 ou 0 ? si RH > RHcol si z < z_max

Description du mouvement en direction z ; collage = 0 ; else z = z_max ; collage = 1 ; end ; z out = z ; else collage = 0 ;

Description du mouvement en direction z ;

If bute = 1 z_out = z_max ; else z_out = z ; end ; end ; End ;