Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD OF ELECTROCHEMICAL CHEMICAL MECHANICAL PLANARIZATION PROCESS
Document Type and Number:
WIPO Patent Application WO/2005/058543
Kind Code:
A1
Abstract:
The present invention relates to procedures and compositions for CMP materials used to planarize metals, such as Cu and Al, used in fabricating semiconductor devices. Optimization of the CMP process can be achieved by decreasing the role of mechanical abrasion in the CMP and increasing the role of chemical polishing, which can also improve material removal rates. Increasing the role of chemical polishing can be accomplished by creating a polishing slurry, or pad which contains components that interact chemically or electrochemically with the surface to be polished. This slurry or a pad may contain reactive abrasive particles, which replace the hard inert abrasive particles of conventional slurries. Use of reactive abrasive particles can reduce the role of mechanical abrasion in the CMP process. Use of this slurry or a pad in CMP can reduce surface scratches and device damage.

Inventors:
IVANOV EUGENE Y (US)
MASLYI A I (RU)
ZELINSKY A (RU)
Application Number:
PCT/US2004/041393
Publication Date:
June 30, 2005
Filing Date:
December 09, 2004
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOSOH SMD INC (US)
IVANOV EUGENE Y (US)
MASLYI A I (RU)
ZELINSKY A (RU)
International Classes:
B23H5/08; B24B37/24; B24D13/14; C09G1/02; C09K3/14; (IPC1-7): B24B1/00; C09G1/02; C09G1/04
Foreign References:
US6428721B12002-08-06
US20050090109A12005-04-28
Attorney, Agent or Firm:
Peacock, Bruce E. (Hessler & Vanderburg 6055 Rockside Woods Boulevard, Suite 20, Cleveland OH, US)
Download PDF:
Claims:
CLAIMS
1. An abrasive slurry for the planarization of a metal surface comprising abrasive particles having a hardness substantially equal to the hardness of said surface and creating an electrochemical cell in contact with said surface.
2. The abrasive slurry as recited in claim 1 wherein said abrasive particles comprise conductive materials selected from the group consisting of metals and semiconductors.
3. The abrasive slurry as recited in claim 1 wherein said abrasive particles are metals selected from the group of metals that are more noble than said metal surface.
4. An abrasive slurry for the planarization of a metal surface comprising abrasive particles having a hardness substantially equal to the hardness of said surface, said abrasive particles adapted to chemically react with said metal surface.
5. An abrasive slurry as recited in claims 1,2, 3, or 4 wherein said abrasive particles have a particle size range of nanometers produced by mechanochemical processing.
6. An abrasive slurry as recited in claim 5 wherein said abrasive particles have sizes of about 10 nanometers to about 800 nanometers.
7. A pad for the planarization of a metal surface comprising abrasive particles having a hardness substantially equal to the hardness of said surface, wherein said abrasive particles create an electrochemical cell upon contact with said surface.
8. A pad as recited in claim 7 wherein said abrasive particles are selected from the group consisting of metals that are more noble than said surface.
9. A pad as recited in claim 7 wherein said abrasive particles comprise semiconductor materials.
10. A method for the chemical mechanical planarization (CMP) of a substrate, said method comprising the steps of: providing a polishing slurry comprising a solvent and accelerator particles, said accelerator particles comprising particles selected from Ag, Pt, and MnO2 ; providing a polishing pad; and contacting the polishing pad and the polishing slurry against said substrate to thereby planarize a surface thereof.
11. The method as recited in claim 10 wherein said substrate comprises Cu, Al, or W metal or alloys including said metals.
12. The method as recited in claim 11 wherein said substrate comprises Cu or alloys thereof.
13. The method as recited in claim 12 wherein said solvent comprises water.
14. The method as recited in claim 12 wherein said solvent comprises an aqueous solution of K2S04, H2S04, CuS04, H202, KOH, or NH40H.
15. A chemical mechanical planarization (CMP) polishing slurry comprising: (a) accelerator particles comprising a member selected from Ag, Pt and Mon02 and (b) a solvent.
16. The CMP slurry recited in claim 15 wherein said particles have sizes of about 10800 nanometers, said particles being present in said slurry in an amount of about 150 wt%.
17. The CMP slurry recited in claim 16 wherein said solvent comprises an aqueous medium.
18. The CMP slurry as recited in claim 17 wherein said aqueous medium comprises an aqueous solution including K2SO4, H2SO4, CuSO4, H202, KOH, or NH40H.
Description:
METHOD OF ELECTROCHEMICAL CHEMICAL MECHANICAL PLANARIZATION PROCESS CROSS-REFERENCE TO RELATED APPLICATION [0001] This application claims the priority benefit of U. S. Provisional Patent Application Serial No. 60/528, 988 filed December 12,2003.

BACKGROUND OF THE INVENTION Field of Invention [0002] The present invention relates to the chemical mechanical planarization of surfaces. More particularly, the present invention relates to the planarization of materials, typically Cu, Al, W as encountered in the fabrication of integrated circuits.

Description of Related Art [0003] Fabrication of integrated circuits ("ICs") to improve performance and reduce costs involves complex analysis of materials properties, processing technology and IC design. ICs consist of multiple layers of conducting, insulating and semiconductor materials, interconnected in various ways by conducting metallic channels and plugs ("vias"), including various dopants implanted into various materials for producing the electronic functionality desired of the IC. The near- universal trend in the manufacture of integrated circuits is to increase the density of components fabricated onto a given area of wafer, increase the performance and reliability of the ICs, and to manufacture the ICs at lower cost with less waste and fewer defective products generated by the manufacturing process. These goals lead to more stringent geometric and dimensional requirements in the manufacturing process.

In particular, etching precise patterns into a layer is facilitated by the layer having a surface as nearly planar as feasible at the start of the patterning process. For the common case of patterning by means of photolithography, a planar surface permits more precise location and dimensioning for focusing the incident radiation onto the surface to be etched than would be possible with a surface having deviations from planarity. Similar conclusions typically apply for electron beam or other means of etching. That is, deviations from planarity of the surface to be etched reduce the ability of the surface to support precisely positioned and precisely dimensioned patterns. In the following description of the present invention, we focus on the typical etching, planarization, and photolithography processes as practiced in the manufacture of ICs. However, this is by way of illustration and not limitation, as those of ordinary skill in the art of etching will appreciate that the techniques of the present invention for producing planar surfaces will have applicability in increasing the precision of etching by means other than photolithography. In addition, the present invention is not limited to the field of IC manufacture and may find applicability in other areas of technology requiring planar surfaces.

[0004] Chemical Mechanical Planarization- ("CMP") has been successfully integrated into integrated circuit multilayer manufacturing to achieve highly planar surfaces as described in text books (for example, Microchip Fabrication by Peter Van Zant, 3rd Ed. , 1997) and is generally well-known in the art. We note that "CMP"is also used in the art to denote"Chemical Mechanical Polishing"as well as "Chemical Mechanical Planarization". We use CMP herein synonymously in either sense without distinction.

[0005] During a typical CMP process, the wafer, is typically held inside a rotating carrier and pressed onto a rotating pad, under pressure, while an abrasive slurry, (typically containing particles of abrasive such as Si02, A12 Os, and the like) flows between the wafer and the pad. The slurry will typically contain reagents for chemically etching the wafer, leading to chemical as well as mechanical removal of material. Thus, in the typical practice of CMP, material removal is effected by a combination of chemical attack and mechanical abrasion.

[0006] Typically, the wafer will be caused to rotate, while the polishing pad will itself rotate. The polishing pad and wafer rotate in the same direction (for example, clockwise). However, this is merely for purposes of illustration and counter rotation of the wafer and polishing pad is also practiced. In addition to the rotation of the wafer, the wafer may be caused to oscillate in the plane of the surface being polished substantially perpendicular to the direction of the applied force.

[0007] As the geometry of the integrated circuits continues to shrink, intrinsic circuit delays will increase due to greater resistance in the metal interconnects and also due to undesired ("parasitic") capacitance effects arising from the circuit interconnects. Strategies being developed to reduce the parasitic capacitance effects include incorporating metals with lower resistivity values, such as copper, and providing electrical isolation with insulating materials having low dielectric constants relative to the Si02 dielectrics.

[0008] Theoretically and practically, use of a hard CMP pad results in better planarity of the polished wafer while use of a soft CMP pad provides better surface qualities and uniformity of the polished films. The current generation of semiconductor devices (which are typically made containing tungsten and oxides) are typically planarized using CMP with an industry standard hard pad, such as the IC. RTM. pad, for primary planarization; and a standard soft pad, such as the Politex. RTM. pad, for secondary buff polishing. However, using the standard IC. RTM. pad and the conventional abrasive slurries (Si02, A1203, Ce02, and the like) to polish materials, which typically are much softer than abrasive particles, tends to cause significant scratches on the polished surfaces of films. Attempts to use soft pads like Polytex. RTM. pads to polish these materials have shown some success in avoiding severe scratches but do not efficiently achieve good planarity in reasonable processing times.

[0009] The abrasive particles typically used in conventional polishing slurries have a comparable mechanical strength, or hardness, to that of conventional device materials, such as oxide and tungsten. However, these particles'mechanical strength is typically much higher than that of soft materials used in more recent embodiments of ICs, such as copper or aluminum. During polishing, the hard abrasive particles tend to penetrate deeply into the films of these soft materials and cause severe scratches. Conventional CMP slurries are also typically composed of inorganic chemical components that do not actively react to inorganic materials such as oxides and metals. Therefore, when conventional CMP methods are used in an attempt to planarize soft materials, mechanical abrasion dominates the process, resulting in increased scratching and device damage. These surface scratches in device wafers can cause severe problems for subsequent processing steps and can significantly reduce yields.

[0010] Thus, current standard hard and soft pads and conventional abrasive particles and slurries are not suitable for CMP of softer materials. Improved planarization of soft materials is an important objective of the present invention.

SUMMARY OF THE INVENTION [0011] The present invention relates to apparatus, procedures, and compositions for avoiding and reducing damage to materials, such as Cu and Al, used in fabricating semiconductor devices. Damage reduction can be achieved by decreasing the role of mechanical abrasion in the CMP of these materials and increasing the role of chemical polishing, which can also improve material removal rates. Increasing the role of chemical polishing can be accomplished by creating a polishing slurry which contains components that interact chemically with the surface to be polished. This slurry may or may not also contain soft abrasive particles, which replace the hard abrasive particles of conventional slurries. Use of soft abrasive particles can reduce the role of mechanical abrasion in the CMP process. Use of this slurry in CMP can reduce surface scratches and device damage.

[0012] Polishing pads with intermediate hardness are also included within the scope of the present invention. Such pads may be used with or without abrasive slurries, and when used with abrasive slurries, may be used with conventional slurries or with softer than customary abrasive particles (or coated abrasive particles). A balance is achieved between smooth surface finish and efficiency of polishing (material removal rate) by adjusting the polishing pad hardness to the surface to be planarized. Also, such pads may contain embedded chemically active abrasive particles.

[0013] A two (or multi) step process is also envisioned within the scope of the present invention as a first planarization step (which may be conventional CMP or any of the improvements noted herein), followed by a buffing step. The subsequent buffing step (s) need not remove significant material as this has typically been accomplished by the preceding planarization step. Thus, the buffing step may be abrasive-free, utilize reactive abrasives, and typically is gentler than a one-step process as the surface needs only to be buffed without substantial material removal as would be necessary for planarization.

BRIEF DESCRIPTION OF THE DRAWING [0014] Fig. 1 illustrates copper mass change during the corrosion process in 0. 1M K2S04 solution without electrical contact (dissolution rate # 1 # 10-10 gs-1 cm-2) area I, and with electrical contact with different compounds (area II): 1-in contact with Ag (dissolution rate 4 10-9 gs-l cm~2) 2-in contact with Pt (dissolution rate 5. 3 10-8 gs-l cm~2) 3-in contact with Mon02 (dissolution rate 6. 5 10-8 gs-l cm~2) DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS [0015] Chemical Mechanical Planarization (CMP) has been successfully integrated into fabrication processes for integrated circuits containing multiple layers.

Dielectric CMP is performed typically to remove topography from the dielectric surface, that is, to planarize the dielectric surface. Such topography typically originates from the deposit of dielectric material on top of underlying metal structures.

Metal CMP is typically performed to remove a blanket metal film, thus revealing in- laid metal structures that act as conductive elements in the integrated circuits (ICs).

Current IC designs incorporate multiple layers of conducting, insulating, and semiconductor layers, typically having patterns therein formed by means of photolithography. The critical dimension requirements of current ICs require a wafer surface that is highly planar before beginning the photolithography patterning, hence the need for a very precise planarization process. Currently, conventional CMP is performed on polishing platforms with mechanical designs that are based on silicon wafer polishing tools. During CMP, an oscillating and rotating wafer is pressed against a rotating polishing pad with a force. The wafer is held firmly by a retaining ring affixed to a gimbaled, rotating wafer carrier. Chemically active abrasive slurry is directed onto the pad providing the necessary abrasive mechanism. The polishing pad is typically composed of polyurethane, or polyurethane impregnated fiber, and is typically attached to a rigid, temperature-controlled platen. Current thinking holds that during CMP the wafer is supported by hydrodynamic forces, and by direct support from abrasive particles resting on pad asperities at the pad-wafer interface.

[00161 Conventional CMP requires an additional support process referred to as "pad conditioning. "Pad conditioning is typically performed with diamond- impregnated ring or disk tools that are generically referred to as"conditioners".

During conditioning, the conditioner is typically pressed against the rotating polishing pad. The pressure and relative motion of the diamond abrasives against the polishing pad erode a small amount of pad material. Pad erosion is required to keep the surface of the pad free of the material build up associated with the reaction products of CMP, i. e. , spent abrasives, and removed material from the wafer surface. Pad conditioning also maintains the micro-texture of the pad, which is useful in that the pad tends to smooth during CMP in response to heat-induced viscoelastic flow of the pad material.

Without pad conditioning, the removal rate and uniformity of the material from the surface undergoing planarization tends to be variable from wafer-to-wafer making a production-worthy CMP process impossible. A pad conditioning process whereby pad conditioning and wafer polishing occur simultaneously is referred to as"in-situ" conditioning. When pad conditioning occurs following the polishing of one wafer and prior to polishing another, the conditioning process is called"ex-situ"conditioning.

[0017] During pad conditioning, the location and rotation rate of the conditioning tools affect the uniformity of erosion in the wafer-track, and this influences the removal rate stability and polishing uniformity of the dielectric CMP process. In practice, CMP continues for a predetermined time to affect the removal of the desired amount of material. The appropriate processing time is typically determined by means of a calculation making use of knowledge of the material removal rate (for the abrasive, wafer, pad, pressure, etc. , in use) and the required material removal amount. The removal amount is chosen such that at the end of CMP, the planarized surface is essentially free of topography (i. e. , substantially flat) and has the required final thickness. Typical industrial CMP processes presently in use have pad-wafer pressures of about 48. times. 10. sup. 3 Pa (7.0 psi), a relative velocity between pad and wafer of about 0.54 meter/sec. and a total processing time of about 3 minutes.

[0018] It requires no detailed analysis to appreciate that during CMP, regions of high topography are subjected to a higher localized contact pressure in comparison with low lying regions. Assuming the pad is comprised of numerous spring elements, it follows from Hooke's law that compressed pad regions direct larger forces onto the higher regions of the wafer topography. It is this property that gives CMP the ability to selectively remove elevated topographic features (that is, planarize) while minimizing removal in the regions between the raised features.

[0019] Current multi-layer IC fabrication schemes typically utilize dielectric CMP processes, including CMP of inter-level dielectric (ILD) materials (typically involving CMP of Si2), but may also include metal CMP processes (typically involving CMP of tungsten, titanium and/or titanium nitride, but may also include various alloys and mixtures of aluminum, copper, tantalum, and tungsten).

[0020] Slurries designed for the CMP of conductive layers, such as tungsten and copper, typically contain A1203 or Si02 abrasive and various chemical additives such as acids, oxidizers, and buffering agents in an aqueous suspension to remove the metal layers efficiently by increasing the capability of chemical polishing. Examples f of commercially available tungsten slurries include Rodel QCCT 1010. RTM. and Cabot WA-355. RTM. Slurries designed for oxide layers typically include Si02 abrasive in an NH4OH-based or KOH-based aqueous suspension. Examples of commercially available Si02 slurries include Rodel 1501-50. degree. , Rodel 1508- 50. RTM. , and Cabot SS25. RTM. Experimental copper CMT slurries typically contain SiO2 or A1203 abrasive.

[0021] The CMP processes described above may usefully be designated as precision polishing applications, and thus require a very precise and controlled material removal rate across the IC wafer. In addition, the final surface quality and smoothness requirements are also rather demanding relative to many other polishing processes. In order to achieve these requirements, the abrasive used in the CMP slurry are typically chosen to have a very precise particle size and shape, and the abrasive must form a very stable suspension so as to avoid particle agglomeration.

Deviations from the ideal abrasive specifications often result in poor CMP performance, poor suspension stability, and particle agglomeration. Particle agglomeration is particularly troublesome because it leads to increased scratching on the surface being polished. Such scratches can lead to electrical shorts across adjacent metal lines (in the case of a scratched ILD layer), defective or damaged conductive structures (as in metal CMP), or poor electrical isolation (as with STI).

[0022] During CMP, material is typically removed by the combined action of chemical and mechanical processes. Chemical energy is supplied by the slurry's liquid media or in some cases by the abrasives themselves. CMP slurries are typically aqueous based and are usually either acidic or basic. Mechanical energy is generated by dragging the material against a semi-rigid surface (the polishing pad) with an abrasive slurry entrained at the wafer-polishing pad interface.

[0023] Aqueous (water) based slurries have been overwhelmingly preferred in CMP processes. In these slurries, the pH is typically adjusted to either the acidic side, or the basic side of the pH scale using appropriate chemicals. Oxidizing agents are typically used in metal CMP slurries to aid in promoting corrosion reactions on metal surfaces. Organic and inorganic acid salts may also be added to improve metal removal rate and removal of abraded material. Surfactants may also be added to impart stability and to provide lubricity. Chelating agents purportedly increase material removal rates from the surface. Co-solvents, such as alcohols or glycols, may have similar (or the reverse) effects on material removal rates and may be selected as desired by the process engineer for proper material removal. By adjusting the various chemical aspects of the slurry, the polishing efficiency (removal rates) for a given abrasive-wafer system can be controlled. For example, it has been shown that solubility of Si02 increases as solution pH increases (from pH 7) (R. K. Iler, The Chemistry of Silicon, John Wiley & Sons Inc. , New York (1979) ). Hence, high pH slurries are generally more efficient polishing agents for SiO2-based materials. This generalization depends somewhat on the abrasive and the material being polished but illustrates the impact of chemistry on the slurry performance as predicted by the more sophisticated interpretation of Preston's equation (which may also include binding site density effects). (F. Preston, J. Soc. Glass Tech. 11,214, 1927).

[0024] Currently, CMP abrasives are typically formed solely from one homogeneous material type. Examples include abrasives formed of A1203, Ce02 and Si02. These metal oxide abrasives may be segregated into two categories: chemically active oxides, and chemically inactive oxides. The former pertains to those metal oxide compounds that have multiple valence states. These compounds can undergo oxidation-reduction reactions under certain circumstances. Cerium (Ce) is an example of a metal with chemically active oxide. For example, ceria (CeOz) or occurs in forms in which Ce is in its +3 and +4 valence states and accordingly can undergo the following reversible oxidation-reduction reaction: 2CeO2=Ce2 O3 +O (1) Other possible reactions are MnO2+Cu=Mn2O3+CuO with AH=-17kcal (2) VO2+W=V203+WO2 with AH=-53kcal (3) [0025] In addition to the oxidation-reduction reactions noted above, still other chemical reactions may be possible with other materials, and thus the reactive abrasive technology applies broadly to any number of materials, and not only metal oxides. It may be feasible to harness such chemical reactions in order to improve the performance of CMP processes. For example, a slurry comprised of chemically active abrasive (s) might provide a higher material removal rate for a given amount of mechanical energy input (i. e. , polishing pressure), or they may provide a higher removal rate on certain material types while minimizing the material removal rate on another material type, and thus provide a desirable removal rate selectivity for some polishing processes. However, these active materials may be more expensive, have a higher material density, or in some cases may have undesirable impurities relative to Si02, or other suitable materials. Thus, their desirable attributes are mitigated to some degree by their undesirable properties. Hence, finding methods that take advantage of the CMP enhancements realized from the chemical properties of certain materials while reducing their undesirable attributes would be useful.

[0026] The deleterious scratches caused by performing CMP with hard, abrasive slurries, (and that are sought to be reduced by the present invention) are believed to be chiefly due to the deep penetration of the surface by hard slurry particles. Conventional abrasive particles such as Si02, A1203, CeO2, SnO2, or Zur02, typically have mechanical strengths comparable to oxides and tungsten, but tend to be much harder than soft metals such as copper and aluminum. Severe scratches readily result. Commercially available slurries of the types noted elsewhere herein are typically made from inorganic constituents which tend to be reactive to materials such as oxides and metals but tend not to react with organic materials.

[0027] In another approach to the CMP of the present invention, the primary polishing step is accomplished with a conventional pad and a slurry containing the soft particles described above. Additionally, the soft particles may be used with the chemically reactive slurry components described above for the primary polishing step.

In another embodiment, the primary polishing step is accomplished with the chemically reactive slurry described above without the use of soft particles. In another embodiment, the chemically reactive slurry described above is used with conventional abrasive particles for the primary polishing step.

[0028] In another embodiment, surface scratches that occurred during a primary polishing step, either a conventional CMP primary polishing step or one of the primary polishing steps described above, are removed by a buff polishing step. In one embodiment, a standard, commercially available soft pad is used in the buff polishing step. In another embodiment, an optimized pad as described above is used in the buff polishing step. In one embodiment, the slurry used in the buff polishing step includes the composition described above without abrasive particles. In another embodiment, the buff polishing step includes the slurry composition described above with standard or soft abrasive particles. In addition to abrasive slurry particles, reactive chemicals may be contained in the CMP solution. The slurry may have the opposite zeta potential charge to the surface to be polished, which may typically be comprised of a low dielectric organic polymer.

[0029] In summary, the present invention provides several techniques for avoiding or reducing surface scratching in the planarization of soft metal materials, as encountered in the fabrication of integrated circuits. One embodiment entails making use of a polishing pad with moderate hardness as a compromise between smoothness of surface and effective rates of material removal. Intermediate hardness can be achieved by modification of the process of pad manufacture, including modification of baking and curing temperatures and times, as well as other pad processing parameters.

Such a pad may make use of traditional slurries or slurries modified as noted above.

[0030] For planarization of a surface in CMP process, it is necessary to provide higher speed of metal removal on a protruding region than in a recessed area.

The specified parity of speeds is usually achieved by a decrease in the speed of dissolution of copper in a recessed area as a result of its passivation, and primary mechanical removal of a protruding region with the help of an abrasive. Such prevalence of the mechanical component in the CMP process may lead to stress and defects in thin copper conductors. Therefore, the necessity of increasing of chemical component in CMP process is noted by many authors. Damage reduction and the increase of a removal rate can be achieved by decreasing the role of mechanical abrasion in the CMP of copper and increasing the role of chemical polishing, which can improve material removal rates. Increasing the role of chemical polishing can be accomplished by creating a polishing slurry or a pad with embedded particles which contains components that interact chemically with the surface to be polished.

[0031] A parity of speeds of dissolution of metal in protruding region and recessed area can be achieved without mechanical grinding. For this purpose, instead of decreasing to zero the speed of dissolution of copper in a recessed area, it is necessary to increase the relative speed of its dissolution on a protruding region.

Direct measurement of speed of dissolution of copper in solutions of various compositions, and an illustration of the opportunity to control this speed by providing electrical contact of copper with other materials is the purpose of this work.

[0032] Direct measurement of corrosion speed of copper in various solutions was carried out with quartz microgravimetric balance where the quartz resonance frequency depends on the weight of the deposited copper layer. Change of copper weight preliminary electrochemically deposited on a quartz crystal covered with gold was measured (the AT-cut, working frequency of 3.98 MHz). Changes of the speed of corrosion was achieved due to electric (outside of a solution) contact of the copper with other metals or oxides placed in a solution near to the working electrode. The change of copper potential with respect to the reference electrode was simultaneously measured. The electrochemical cell, the measuring equipment, and the program of data acquisition are similar to those described in Proceedings of Syznposium on Fundanaehtals of Electrochemical Deposition a7zd Dissolution by A. I. Masliy et al., Electrochemical Society Proc. V. 99-33, pp. 375-380,1999.

[0033] In this work, we present data on the speed of chemical dissolution of copper and the change of its potential in the several solutions (K2SO4, H2SO4, CuS04, H202, KOH, NH40H, etc. ) typically used for CMP. It was found that the dissolution rate essentially depends on the solution composition, and the dissolution rate changes from practically zero for 0,1M KOH solution up to 12 ng s-l cm~2 in solutions of 0, 1M CuSO4 + 0, 1M H2SO4.

[0034] The dependence of the copper corrosion rate on its electric contact with various conductors (for example, oxides) has been measured. It was found that some of them (Ag, Pt, Mon02 and a number of others) are effective at accelerating corrosion of copper. The scale of accelerating effect essentially depends on the composition of a solution and the nature of an accelerator. As an example, Fig. 1 shows the copper weight change for a solution without contact (area I) and in contact (area II) with various accelerators.

[0035] Thus, from the results obtained, we can conclude that the planarization of copper structures can be achieved by contact of a protruding region with nano-sized particles of accelerators implanted in a CMP pad in combination with optimized solution composition.

[0036] Accordingly, one aspect of the invention is directed toward an abrasive slurry for the planarization of a metal surface in which abrasive particles having a hardness substantially equal to the hardness of the metal surface to be planarized are incorporated in the slurry and create an electrochemical cell when in contact with the metal surface. For example, these abrasive particles can comprise conductive materials selected from the group consisting of metals and semiconductors and mixtures thereof. In another aspect of the invention, the abrasive particles in the CMP slurry are metals that are more noble than the metal surface to be polished or planarized. In another aspect of the invention, the abrasive particles in the slurry have a hardness that is substantially equal to the hardness of the surface and chemically react with the surface.

[0037] The abrasive particles have particle size ranges in the nanometer range as produced by mechanochemical processing of the type conventionally known in the art. More specifically, the abrasive particles can be on the order of about 10-800 nanometers.

[0038] The pad which is utilized to contact the substrate in accordance with conventional CMP processes can comprise the abrasive particles with the slurry being applied directly to the pad or to the substrate which is, in accordance with conventional techniques, rotated to be in contact with the desired substrate.

[0039] In another aspect, methods are directed toward chemical mechanical planarization (CMP) of a substrate wherein a polishing slurry is provided that comprises a solvent and accelerator particles. The accelerator particles may comprise particles selected from Ag, Pt, Mon02. A polishing pad is provided, and the polishing pad and polishing slurry are contacted against the substrate to thereby planarize a surface thereof. Most preferably, the substrate comprises copper and/or aluminum metal or alloys including these metals.

[0040] As to the solvents that may be utilized, water may be mentioned and additionally organic solvents such as alkynes, alcohols, or ethers may also be utilized.

Additionally, organic solvents may include aliphatic and aromatic hydrocarbons such as those normally known in the art and listed in conjunction with U. S. Patent 6,623, 355, the disclosure of which is hereby incorporated by reference. Most preferably, the accelerator particles are present in the slurry in an amount of 1-50 wt% with the remainder of the slurry comprising the solvent and optional conventional materials such as those listed in conjunction with the aforementioned '355 patent. In a preferred aspect of the invention, an aqueous-based slurry is provided with the aqueous solution comprising dilute amounts of potassium sulfate, sulfuric acid, copper sulfate, hydrogen peroxide, potassium hydroxide or ammonium hydroxide.

[0041] While this invention has been described with respect to particular embodiments thereof, it is apparent that numerous other forms and modifications of this invention will be obvious to those skilled in the art. The appended claims and this invention generally should be construed to cover all such obvious forms and modifications which are within the true spirit and scope of the present invention.

[0042] What is claimed is: