Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
A METHOD OF FORMING AN OXIDE LAYER
Document Type and Number:
WIPO Patent Application WO/2007/040845
Kind Code:
A3
Abstract:
A method for forming an oxide layer on a substrate. The method includes exposing a process gas containing H2, an oxygen-containing gas, and a halogen- containing oxidation accelerant gas to the substrate, where the process chamber is maintained at a subatmospheric pressure, and forming an oxide layer through thermal oxidization of the substrate by the process gas. According to one embodiment of the invention, the substrate can be maintained at a temperature between about 150°C and about 900°C. A microstructure containing an oxide layer is described, where the oxide layer can be a gate dielectric oxide layer or an interface oxide layer integrated with a high-k layer.

Inventors:
JOE RAYMOND (US)
Application Number:
PCT/US2006/032239
Publication Date:
May 31, 2007
Filing Date:
August 17, 2006
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOKYO ELECTRON LTD (JP)
JOE RAYMOND (US)
International Classes:
H01L21/31; H01L21/469; H01L29/76; H01L29/94; H01L31/062; H01L31/113; H01L31/119
Foreign References:
US6674138B12004-01-06
US20010020724A12001-09-13
US20040115936A12004-06-17
US5319235A1994-06-07
Other References:
EHARA K. ET AL.: "Kinetics and Oxide Properties of Silicon Oxidation in O2, H2, HC1 mixtures", SOC. SOLID STATE SCIENCE AND TECHNOLOGY, December 1979 (1979-12-01), pages 2249 - 2254, XP003013185
OSBURN C.M.: "Dielectric Breakdown Properties of SiO2 films grown in halogen and hydrogen containing environments", J. ELECTROCHEM SOC., 1974, pages 809 - 814
Attorney, Agent or Firm:
WEIHROUCH, Steven, P. et al. (SPIVAK MCCLELLAND, MAIER & NEUSTADT, P.C., 1940 Duke Stree, Alexandria VA, US)
Download PDF:
Claims:

WHAT IS CLAIMED IS:

1. A method for processing a substrate, the method comprising: providing the substrate in a process chamber; exposing a process gas comprising H 2 , an oxygen-containing gas, and a halogen-containing oxidation accelerant gas to the substrate, wherein the process chamber is maintained at a subatmospheric pressure during the exposing; and forming an oxide layer through thermal oxidization of the substrate by the process gas.

2. The method according to claim 1 , wherein the halogen-containing oxidation accelerant gas comprises X 2 , C x Xy, or C x X y H z , or a combination of two or more thereof.

3. The method according to claim 2, wherein the substrate is maintained at a temperature between about 150 0 C about 900 0 C during the exposing.

4. The method according to claim 2, wherein the substrate is maintained at a temperature between about 400 0 C about 800 0 C during the exposing.

5. The method according to claim 2, wherein the process chamber is maintained at a pressure between about 100mTorr and about 650Torr during the exposing.

6. The method according to claim 2, wherein the process chamber is maintained at a pressure between about 200mTorr and about 20Torr during the exposing.

7. The method according to claim 2, wherein the process chamber is maintained at a pressure between about 400mTorr and about IOTorr during the exposing.

8. The method according to claim 2, wherein the oxygen-containing gas comprises O 2 , O3, NO, NO 2 , or N 2 O, or a combination of two or more thereof.

9. The method according to claim 2, wherein the X 2 comprises F 2 , Cl 2 , Br 2 , or I 2 , or a combination of two or more thereof.

10. The method according to claim 2, wherein the C x H y comprises C 5 F 8 , C 4 F 6 , C 4 F 8 , or CF 4 , or a combination of two or more thereof, and the C x HyX 2 comprises CHF 3 , CH 2 F 2 , CHCI 3 , or CH 2 CI 2 , or a combination of two or more thereof.

11.The method according to claim 2, wherein the process gas further comprises Ar, He, Ne, Kr, Xe, or N 2 , or a combination of two or more thereof.

12. The method according to claim 2, wherein the substrate comprises Si and the oxide layer comprises SiO 2 or SiO x where x < 2.

13. The method according to claim 2, wherein the substrate comprises Ge and the oxide layer comprises GeO 2 or GeO x where x < 2.

14. The method according to claim 2, wherein the substrate comprises Si y Ge-i. y and the oxide layer comprises Si y Gei -y O x with 0 < y < 1 and x ≤ 2.

15. The method according to claim 2, wherein the substrate comprises GaAs and the oxide layer comprises oxidized GaAs.

16. The method according to claim 2, wherein a thickness of the oxide layer is between about 5 angstrom and about 500 angstrom.

17. The method according to claim 2, wherein a thickness of the oxide layer is between about 5 angstrom and about 30 angstrom.

18. The method according to claim 2, wherein a thickness of the oxide layer is between about 100 angstrom and about 500 angstrom.

19. The method according to claim 2, wherein the combined volume percentage of the H 2 and the halogen-containing oxidation accelerant gas in the process gas is between about 1 percent and about 66 percent, and wherein the combined volume percentage of the oxygen-containing gas and optionally an inert gas is between about 99 percent and about 34 percent.

20. The method according to claim 2, wherein the volume percentage of the halogen-containing accelerant gas in the process gas is between about 0.01 percent and about 65 percent.

21. A method for processing a Si substrate, the method comprising: providing the Si substrate in a process chamber; exposing a process gas comprising H 2 , O 2 , and a Cl 2 oxidation accelerant gas to the Si substrate, wherein the Si substrate is maintained at a temperature between about 150 0 C and about 900 0 C and the process chamber is maintained at a subatmospheric pressure during the exposing; and forming an SiO 2 or SiO x layer through thermal oxidization of the Si substrate by the process gas.

22.A method for processing a substrate, the method comprising: providing the substrate in a process chamber; exposing a process gas comprising H 2 , an oxygen-containing gas, and a halogen-containing oxidation accelerant gas to the substrate, wherein the halogen-containing oxidation accelerant gas comprises HF, HCI, HBr or HI, or a combination thereof, and wherein the process chamber is maintained at a subatmospheric pressure greater than 1Torr during the exposing; and forming an oxide layer through thermal oxidization of the substrate by the process gas.

23. The method according to claim 21 , wherein the substrate is maintained at a temperature between about 150°C and about 900 0 C

24.A microstructure, comprising: a substrate containing at least one active region; and

a gate stack comprising: a gate electrode layer, and a gate dielectric oxide layer formed through a process according to claim 1.

Description:

A METHOD OF FORMING AN OXIDE LAYER

FIELD OF THE INVENTION

[0001] The present invention relates to semiconductor processing, and more particularly, to utilizing a halogen-containing oxidation accelerant gas to form an oxide layer through thermal oxidation of a substrate.

BACKGROUND OF THE INVENTION

[0002] Thin oxide layers are commonly used as dielectric layers at a surface of an integrated circuit. This is in part because of good electrical properties of the oxide layers, including high electron mobility and low electron trap densities. [0003] Low pressure radical oxidation (LPRO) of substrates is a known method for reliably forming oxide layers with excellent electrical properties. Further, LPRO provides excellent non-selectivity of the oxide growth among planar and irregular substrate surfaces. However, LPRO requires high processing temperatures in order to provide practical oxidation rates for device manufacturing. As circuit geometries shrink to ever smaller feature sizes and new materials are introduced into semiconductor devices, the thermal budget of many manufacturing processes is reduced. Thus, despite its benefits, LPRO processes have not been utilized in the manufacturing of low feature size devices, or other processes requiring low thermal budget.

SUMMARY OF THE INVENTION

[0004] Accordingly, an object of the present invention is to address the above- described and/or other problems related to thermal oxide growth. [0005] Another object of the present invention is to provide a low temperature oxidation process for forming an oxide with good electrical properties at a growth rate practical for device manufacturing.

[0006] Any of these and/or other objects can be provided by a method for forming an oxide layer through thermal oxidation of a substrate in accordance with the present invention. The oxide layer can, for example, be used as a gate

dielectric oxide layer or as an interface oxide layer integrated with a high dielectric constant material.

[0007] In one embodiment of the invention, the method includes exposing H 2 , an oxygen-containing gas, and a halogen-containing oxidation accelerant gas to the substrate, while the process chamber is maintained at a subatmospheric pressure. An oxide layer is then formed through thermal oxidization of the substrate by the process gas.

[0008] In another embodiment of the invention, the method includes providing a Si substrate in a process chamber, exposing a process gas comprising H 2 , O 2 , and a Cl 2 oxidation accelerant gas to the substrate, wherein the substrate is maintained at a temperature between about 150°C and about 900 0 C and the process gas pressure is maintained between about 100mTorr and about 650Torr during the exposing. An SiO 2 Or SiO x layer through thermal oxidization of the Si substrate by the process gas.

[0009] According to one embodiment of the invention, a mhrostructure is provided. The microstructure contains a substrate, a gate stack on the substrate, where the gate stack includes a gate electrode layer, and an gate dielectric oxide layer formed through thermal oxidation of the substrate by exposing the substrate to a process gas comprising H 2 , an oxygen-containing gas, and a halogen- containing oxidation accelerant gas, where the process gas is maintained at a subatmospheric pressure during the exposing. According to another embodiment of the invention, the gate dielectric oxide layer can be an interface oxide layer integrated with a high-k layer.

BRIEF DESCRIPTION OF THE DRAWINGS

[0010] In the accompanying drawings:

[0011] FIG. 1 is a process flow diagram of a method of forming an oxide layer according to an embodiment of the invention;

[0012] FIG. 2 is a process flow diagram of a method of forming an oxide layer according to another embodiment of the invention;

[0013] FIGS. 3A - 3B schematically show a cross-sectional view of forming an oxide layer according to an embodiment of the invention;

[0014] FIG. 4A schematically shows a cross-sectional view of a microstructure according to an embodiment of the invention;

[0015] FIG. 4B schematically shows a cross-sectional view of another microstructure according to an embodiment of the invention; and

[0016] FIG. 5 shows a schematic view of a batch processing system for forming an oxide layer according to an embodiment of the invention.

DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS OF THE INVENTION

[0017] As noted above, the benefits of LPRO grown oxides have gone largely unrealized in substrate processes requiring a low thermal budget, such as production of small featured devices. Specifically, a substrate temperature of about 900 0 C, or higher, may be required for a conventional LPRO process perfc rmed at subatmospheric pressures (e.g., below about 10Torr) utilizing a process gas containing H 2 and O 2 . For example, in the in-situ steam generation (ISSG) oxidation technique, H 2 and O 2 are directly introduced into a process chamber without pre-combustion. The combustion-like reactions between H 2 and O 2 occur at the substrate surface at over 900 0 C to produce gas-phase radicals that readily react with and oxidize the substrate. Possible active oxidation species are OH radicals, O radicals, and atomic O. However, such temperatures during growth of an interface oxide or gate dielectric oxide can cause unwanted diffusion of previously formed impurity regions, for example.

[0018] Based on the above problem, the present inventors have studied the chemical interaction of oxygen containing gasses and hydrogen containing gasses during a thermal oxidation process at subatmospheric pressure. In particular, the present inventors studied the effect of introducing a halogen containing gas as a third reactant in the oxidation process. While halogens have been previously used in oxidation growth, they have been typically used at atmospheric pressure, or as part of an oxygen or hydrogen containing gas in a two reactant process. [0019] Based on the above studies, the present inventors discovered that when interacting with a heated substrate, the halogen-containing gas can form halogen- containing radicals that can subsequently catalyze formation of oxidation species from H 2 and/or the oxygen-containing gas. The activation energy for radical

formation from the halogen-containing oxidation accelerant gas being lower than for H 2 and/or the oxygen-containing gas, along with low activation energy for the subsequent reaction of the halogen-containing radical with H 2 and/or the oxygen- containing gas to form H and O radicals is thought to lower the overall activation energy of the oxidation process. Thus, the use of a halogen-containing gas in combination with H 2 and an oxygen-containing gas accelerates the oxidation process thereby allowing for oxidizing the substrate at low substrate temperatures [0020] In one example, the process gas can contain H 2 , O 2 , and Cl 2 oxidation accelerant gas. Cl 2 can react on the heated substrate surface to form Cl radicals that further react with H 2 to form HCI and H radicals. The HCI and the H radicals may further react with O 2 to form additional oxidation species such as O radicals in the process gas. This effect can provide sufficient oxidation growth at subatmospheric pressure at a substrate temperature of about 150°-900°C. Furthermore, it is contemplated that the HCI and the Cl radicals may help trap and deactivate metal contaminant ions on the substrate surface that can be detrimental to the oxidation process. In addition, the in-situ formation of HCI from Cl radicals and H 2 can be utilized to improve oxidation selectivity of Si relative to SiN.

[0021] Thus, embodiments of the invention provide a method for efficient substrate oxidation for semiconductor device manufacturing. The method may be implemented into current semiconductor device manufacturing without significant hardware modifications. According to an embodiment of the invention, a halogen- containing oxidation accelerant gas is added to H 2 and an oxygen-containing gas to reduce the substrate temperature required to achieve an acceptable oxidation rate of the substrate. The presence of the halogen-containing oxidation accelerant gas in the process gas increases the oxidation rate of the substrate and thereby allows for forming a high quality oxide layer with good electrical properties at relatively low substrate temperature.

[0022] According to an embodiment of the invention, one or more substrates are provided in a process chamber, a process gas comprising H 2 , an oxygen- containing gas, and a halogen-containing oxidation accelerant gas is exposed to the substrate, where the process gas is maintained at a subatmospheric pressure during the exposing, and an oxide layer is formed through thermal oxidization of the substrate by the process gas. According to one embodiment of the invention,

the substrate may be maintained at a temperature between about 15O 0 C and about 900 0 C during the exposing. Alternately, the substrate may be maintained at a temperature between about 400 0 C and about 800 0 C. Still alternately, the substrate may be maintained at a temperature between about 600 0 C and about 800 0 C. Yet alternately, the substrate may be maintained at a temperature between about 400 0 C and about 600 0 C. However, temperatures above 900 0 C may also be used, for example if oxidation rates higher than that of normal LPRO processes are needed.

[0023] These methods provide for forming an oxide layer through thermal oxidation of a substrate. The oxide layer may be utilized as a dielectric layer in semiconductor microstructures, for example, as a gate dielectric oxide layer in a gate stack or as an interface oxide layer positioned integrated with a high-k material. The oxide layer can be ultra thin, for example of the order of few angstrom (angstrom = 10 "10 m).

[0024] Referring now to the drawings, FIG. 1 if. a process flow diagram for forming an oxide layer according to an embodiment of the invention. Reference is also made to FIGS. 3A - 3B that schematically show a cross-sectional view of forming an oxide layer according to an embodiment of the invention. In FIG. 1 , in step 102 of the process 100, a substrate 300 is provided in a process chamber of a processing system. The substrate 300 can, for example, contain Si, Ge, SiGe, or GaAs, and can contain at least one active region. In one example, a Si ■ substrate can be of n- or p-type, depending on the type of device being formed. The substrate (wafer) can be of any size, for example a 200 mm substrate, a 300 mm substrate, or an even larger substrate.

[0025] Although not shown in FIG. 3A, the substrate 300 can be cleaned of a native oxide layer prior to growing an oxide layer on the substrate 300. The substrate 300 can be cleaned, for example, by placing it in a liquid bath containing dilute hydrofluoric acid (HF) or, alternatively, exposing it to HF gas phase etching. The dilute HF liquid solution can be a H 2 O:HF (e.g., 50:1 ) mixture. Following the HF cleaning process, the substrate 300 can be rinsed in de-ionized (D.I.) water prior to the oxidation process.

[0026] In step 104, a process gas containing H 2 , an oxygen-containing gas, and a halogen-containing oxidation accelerant gas is exposed to the substrate 300, where the process gas is maintained at a subatmospheric pressure during the

exposing. The process gas can further include an inert gas, including Ar, He, Ne, Kr, Xe, or N 2 , or a combination of two or more thereof, but this is not required for embodiments of the invention. According to an embodiment of the invention, the oxygen-containing gas can contain O 2 , O3, NO, NO 2 , or N 2 O, or a combination of two or more thereof. Furthermore, the halogen-containing oxidation accelerant gas can contain X 2 , C x Xy, or C x XyH 2 , or a combination thereof. The X 2 can, for example, contain F 2 , Cl 2 , Br 2 , or I 2 , or a combination of two or more thereof. The C x Xy can, for example, contain C 5 F 8 , C 4 F 6 , C 4 F 8 , or CF 4 , or a combination of two or more thereof. The C x XyH 2 can, for example, contain CHF 3 , CH 2 F 2 , CHCI 3 , or CH 2 CI 2 , or a combination of two or more thereof. In general, the halogen- containing oxidation accelerant gas may be selected from gases having lower activation energies for decomposition than H 2 and/or the oxygen-containing gas. [0027] According to one embodiment of the invention, the halogen-containing oxidation accelerant gas in step 104 can contain HX and the process gas can be maintained at a subatmospheric pressure greater than 1 Torr during the exposing. The HX can, for example, contain HF, HCI, HBr, or HI, or a combination of two or more thereof.

[0028] According to an embodiment of the invention, the volume percentages of one or more of the H 2 , the oxygen-containing gas, and the halogen containing accelerant gas can be varied over wide concentration ranges while achieving acceptable oxidation rates of the substrate. Suitable relative concentrations of H 2 , the oxygen-containing gas, and the halogen containing accelerant gas that enable growth of an oxide layer with a desired oxidation rate, thickness, thickness uniformity, and electrical properties, can be determined by direct experimentation and/or design of experiments (DOE).

[0029] According to one embodiment of the invention, the combined volume percentage of the H 2 and the halogen-containing oxidation accelerant gas in the process gas can be between about 1 percent and about 66 percent, and the volume percent of the oxygen-containing gas alone or with an inert gas can be between about 99 percent and about 34 percent. According to another embodiment of the invention, the combined volume percentage of the H 2 and the halogen-containing oxidation accelerant gas in the process gas can be between about 5 percent and about 30 percent, and the volume percent of the oxygen- containing gas alone or with an inert gas can be between about 95 percent and

about 70 percent. According to yet another embodiment of the invention, the combined volume percentage of the H 2 and the halogen-containing oxidation accelerant gas in the process gas can be between about 10 percent and about 20 percent, and the volume percent of the oxygen-containing gas alone or with an inert gas is between about 90 percent and about 80 percent. These and other volume ratios may be implemented to achieve different oxide growth rates. For example, an increase volume percentage of H 2 will generally provide a reduced oxidation rate and less oxidation on a nitride substrate surface. [0030] According to one embodiment of the invention, the volume percentage of the halogen-containing accelerant gas in the process gas can be between about 0.01 percent and about 65 percent. According to another embodiment of the invention, the volume percentage of the halogen-containing accelerant gas in the process gas can be between about 0.1 percent and about 10 percent. According to yet another embodiment of the invention, the volume percentage of the halogen-containing accelerant gas in the process gas can be between about 0.5 percent and about 5 percent. Other volume percentages of reactant gases may be implemented by one of ordinary skill in the art without departing from the scope of the present invention.

[0031] In step 106, an oxide layer 302 is formed through thermal oxidation of the substrate 300 by the process gas. According to one embodiment of the invention, the substrate 300 can contain Si and the oxide layer 302 can contain SiO 2 , or SiO x where x < 2. According to another embodiment of the invention, the substrate can contain Si y Ge 1-y and the oxide layer can contain SiyGei -y O x where 0 < y < 1 and x < 2. According to yet another embodiment of the invention, the substrate 300 can contain Ge and the oxide layer 302 can contain GeO 2 , or GeO x where x < 2. According to still another embodiment of the invention, the substrate 300 can contain GaAs and the oxide layer 302 can contain oxidized GaAs. [0032] Suitable process conditions that enable growth of the oxide layer 302 to a desired thickness and thickness uniformity can be determined by direct experimentation and/or design of experiments. It is envisioned that oxide layers having thicknesses of about 5 angstrom to about 500 angstrom may be formed. For example, thin oxide layers with thicknesses less than about 30 angstrom may used as gate dielectric oxide layers. In another example, thick oxide layers with thicknesses between about 100 angstrom and about 500 angstrom may be used

as gate oxide spacers and pad oxides. Thus, according to an embodiment of the invention, a thickness of the oxide layer 302 can be between about 5 angstrom and about 30 angstrom. Alternately, a thickness of the oxide layer 302 can be between about 100 angstrom and about 500 angstrom.

[0033] For example, adjustable process parameters can include exposure time, substrate temperature, process chamber pressure, and composition of the process gas. For example, in step 104, the substrate 300 can be maintained at a temperature between about 15O 0 C and about 900 0 C. Other process parameters in step 104 include maintaining the process chamber at a pressure less than atmospheric pressure during the exposing. In one example, the process chamber can be maintained at a pressure between about 100mTorr and about 650Torr during the exposing. Alternately, the process chamber can be maintained at a pressure between about 200mTorr and about 20Torr during the exposing. Yet alternatively, the process chamber can be maintained at pressure between about 400mTorr and about IOTorr during the exposing. It is to be understood that process pressure may depend on the reactants used and/or process parameters.

[0034] FIG. 2 is a process flow diagram for forming an oxide layer according to another embodiment of the invention. In step 202 of the process 200, a Si substrate is provided in a process chamber of a processing system. In step 204, a process gas containing H 2 , O 2 , and a Cl 2 oxidation accelerant gas is exposed to the substrate, where the process chamber is maintained at subatmospheric pressure. In step 206, a SiO 2 or SiO x layer is formed through thermal oxidation of the Si substrate by the process gas. For example, in step 204, the substrate can be maintained at a temperature between about 150 0 C and about 900 0 C. [0035] FIGS. 4A and 4B schematically shows cross-sectional views of microstructures according to embodiments of the invention. The microstructures contain oxide layers that may be formed according to embodiments of the invention. The oxide layers may utilized as dielectric layers in gate stacks, for example, as a gate dielectric oxide layer 418 depicted in FIG. 4A, or as an oxide interface layer 428 integrated with a high-k material depicted in FIG. 4B. Dielectric materials featuring a dielectric constant greater than that of SiO 2 (k~3.9) are commonly referred to as high-k materials. A high-k layer can, for example, contain a metal oxide, a metal oxynitride, a metal silicate, or a nitrated metal

silicate, including Ta 2 O 5 , TaSiO x , TaSiO x Ny, TiO 2 , ZrO 2 , AI 2 O 3 , Y 2 O 3 , HfO 2 , HfSiO x , HfSiO x N y , HfO x Ny 1 ZrO 2 , ZrSiOx, ZrSiO x Ny, ZrO x Ny, SrO x , SrSiO x , SrSiO x N y , LaO x , LaSiO x , LaSiO x Ny, YO x , YSiO x , or YSiO x Ny, or combination or mixture of two or more thereof.

[0036] In current semiconductor devices, one function of a dielectric layer in a gate stack is to "gate" the electrons, by controlling the flow of electricity across the transistor. With the introduction of high-k materials, these oxide layers will likely still be required at the channel and/or gate electrode to preserve interface state characteristics. This can include forming an interface oxide layer with good electrical properties, preventing uncontrolled Si surface oxidation, reducing reactions between different layers, and acting as a barrier layer to prevent diffusion of atoms to the different layers (e.g., dopant penetration from a gate electrode layer into the substrate). In practice, good device performance depends on controlling the thickness of the oxide layer, such that it remains thin, thereby avoiding increasing the equivalent oxide thickness (EOT) of the gate structure. [0037] In FIG. 4A, the microstructure 400 contains a gate stack 410 and a substrate 412 having a source region 413, a drain region 414, and a channel region 415. The gate stack 410 contains a gate dielectric oxide layer 418 formed on the substrate 412, and a gate electrode layer 417 formed on the gate dielectric oxide layer 418. The gate dielectric oxide layer 418 can be formed through thermal oxidation of the substrate 412 by a process gas containing H 2 , an oxygen- containing gas, and a halogen-containing oxidation accelerant gas as described in reference to FIGS. 1 - 3 above. The substrate 412 can, for example, contain Si, Ge, SiGe, or GaAs. According to one embodiment of the invention, the substrate 412 can contain Si and the gate dielectric oxide layer 418 can contain SiO 2 or SiO x where x < 2. According to another embodiment of the invention, the substrate can contain Si y Gei -y and the gate dielectric oxide layer 418 can contain SiyGei- y O x where O < y < 1 and x ≤ 2. According to yet another embodiment of the invention, the substrate 412 can contain Ge and the gate dielectric oxide layer 418 can contain GeO 2 or GeO x where x < 2. The thickness of the gate dielectric oxide layer 418 can, for example be between about 5 angstrom and about 30 angstrom, but this is not required for embodiments of the invention as the oxide layer 418 can have other thicknesses. Alternately, a thickness of the gate dielectric oxide layer 418 can be between about 7 angstrom and about 15 angstrom. The gate

electrode layer 417 can, for example, be about 1000 angstrom thick. The gate electrode layer 417 can contain silicon (e.g., doped poly-Si), or a metal or metal- containing material, including W, WN, Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, or Ru.

[0038] In FIG. 4B, the microstructure 401 contains a gate stack 420 and a substrate 422 having a source region 423, a drain region 424, and a channel region 425. The gate stack 420 contains an oxide interface layer 428 formed on the substrate 422, and a gate electrode layer 427 formed on the oxide interface layer 428. The oxide interface layer 428 can be formed through thermal oxidation of the substrate 422 by a process gas containing H 2 , an oxygen-containing gas, and a halogen-containing oxidation accelerant gas. As described in reference to substrate 412 in FIG. 4A, the substrate 422 in FIG. 4B can, for example, contain Si, Ge, or SiGe, or GaAs. The thickness of the oxide interface layer 428 can, for example be between about 5 angstrom and about 30 angstrom, but this is not required for embodiments of the invention as the oxide interface layer 428 can have other thicknesses. Alternately, a thickness of the oxide interface layer 428 can be between about 7 angstrom and about 15 angstrom. The oxide interface layer 428 can be thinner than the oxide interface layer 418 and the high-k layer 427 can be physically thicker than the oxide dielectric layer 418, while attaining the necessary capacitance in the gate stack 420. The high-k layer 426 can, for example, be between about 10 angstrom and about 200 angstrom thick. Alternately, the thickness of the high-k layer 426 can be between about 20 angstrom and about 50 angstrom.

[0039] FIG. 5 shows a simplified block diagram of a batch processing system for forming an oxide layer according to an embodiment of the invention. The batch processing system 1 contains a process chamber 10 and a process tube 25 that has an upper end 23 connected to an exhaust pipe 80, and a lower end 24 hermetically joined to a lid 27 of cylindrical manifold 2. The exhaust pipe 80 discharges gases from the process tube 25 to a vacuum pumping system 88 to maintain a pre-determined subatmospheric pressure in the processing system 1. A substrate holder 35 for holding a plurality of substrates (wafers) 40 in a tier-like manner (in respective horizontal planes at vertical intervals) is placed in the process tube 25. The substrate holder 35 resides on a turntable 26 that is mounted on a rotating shaft 21 penetrating the lid 27 and driven by a motor 28.

The turntable 26 can be rotated during processing to improve overall film uniformity or, alternately, the turntable can be stationary during processing. The lid 27 is mounted on an elevator 22 for transferring the substrate holder 35 in and out of the process tube 25. When the lid 27 is positioned at its uppermost position, the lid 27 is adapted to close the open end of the manifold 2. [0040] A gas delivery system 97 is configured for introducing gases into the process chamber 10. A plurality of gas supply lines can be arranged around the manifold 2 to supply a plurality of gases into the process tube 25 through the gas supply lines. In FIG. 5, only one gas supply line 45 among the plurality of gas supply lines is shown. The gas supply line 45 shown, is connected to a first gas source 94. In general, the first gas source 94 can supply gases for processing the substrates 40, including hb, an oxygen-containing gas, and an a halogen- containing oxidation accelerant gas for forming an oxide layer on the substrates 40. Furthermore, the first gas source 94 can supply an inert gas. In addition, or in the alternate, one or more gases can be supplied from the (remote) plasma source 95 that is operatively coupled to a second gas source 96 and to the process chamber 10 by the gas supply line 45. The plasma-excited gas is introduced into the process tube 25 by the gas supply line 45. The plasma source 95 can, for example, be a microwave plasma source, a radio frequency (RF) plasma source, or a plasma source powered by light radiation. In the case of a microwave plasma source, the microwave power can be between about 500 Watts (W) and about 5,000 W. The microwave frequency can, for example, be 2.45 GHz or 8.3 GHz. In one example, the remote plasma source can be a Downstream Plasma Source Type AX7610, manufactured by MKS Instruments, Wilmington, Massachusetts, USA.

[0041] A cylindrical heat reflector 30 is disposed so as to cover the reaction tube 25. The heat reflector 30 has a mirror-finished inner surface to suppress dissipation of radiation heat radiated by main heater 20, bottom heater 65, top heater 15, and exhaust pipe heater 70. A helical cooling water passage (not shown) can be formed in the wall of the process chamber 10 as a cooling medium passage. The heaters 20, 65, and 15 can, for example, maintain the temperature of the substrates 40 between about 2O 0 C and about 900 0 C. [0042] The vacuum pumping system 88 comprises a vacuum pump 86, a trap 84, and automatic pressure controller (APC) 82. The vacuum pump 86 can, for

example, include a dry vacuum pump capable of a pumping speed up to 20,000 liters per second (and greater). During processing, gases can be introduced into the process chamber 10 via the gas supply line 45 of the gas delivery system 97 and the process pressure can be adjusted by the APC 82. The trap 84 can collect unreacted precursor material and by-products from the process chamber 10. [0043] The process monitoring system 92 comprises a sensor 75 capable of real-time process monitoring and can, for example, include a mass spectrometer (MS), a FTIR spectrometer, or a particle counter. A controller 90 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 1 as well as monitor outputs from the processing system 1. Moreover, the controller 90 is coupled to and can exchange information with gas delivery system 97, motor 28, process monitoring system 92, heaters 20, 15, 65, and 70, and vacuum pumping system 88. The controller 90 may be implemented as a DELL PRECISION WORKSTATION 610™. The controller 90 may also be implemented as a general purpose computer, processor, digital signal processor, etc., which causes a substrate processing apparatus to perform a portion or all of the processing steps of the invention in response to the controller 90 executing one or more sequences of one or more instructions contained in a computer readable medium. The computer readable medium or memory for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein. Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.

[0044] The controller 90 may be locally located relative to the processing system 1 , or it may be remotely located relative to the processing system 1 via an internet or intranet. Thus, the controller 90 can exchange data with the processing system 1 using at least one of a direct connection, an intranet, and the internet. The controller 90 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment

manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 90 to exchange data via at least one of a direct connection, an intranet, and the internet.

[0045] It is to be understood that the batch processing system 1 depicted in FIG. 5 is shown for exemplary purposes only, as many variations of the specific hardware can be used to practice embodiments of the invention, and these variations will be readily apparent to one having ordinary skill in the art. The processing system 1 in FIG. 5 can, for example, process substrates of any size, such as 200 mm substrates, 300 mm substrates, or even larger substrates. Furthermore, the processing system 1 can simultaneously process up to about 200 substrates, or more. Alternately, the processing system 1 can simultaneously process up to about 25 substrates.

[0046] Alternately, a single wafer deposition system may be used to form an oxide layer according to embodiments of the invention. One example of a single wafer deposition system is described in United States Patent Application No. 11/711 ,721 , titled "A METHOD FOR FORMING A THIN COMPLETE HIGH- PERMITTIVITY DIELECTRIC LAYER", filed on September 30, 2004, the entire contents of which are hereby incorporated by reference. [0047] It should be understood that various modifications and variations of the present invention may be employed in practicing the invention. It is therefore to be understood that, within the scope of the appended claims, the invention may be practiced otherwise than as specifically described herein.