Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD FOR MITIGATING LATERIAL FILM GROWTH IN AREA SELECTIVE DEPOSITION
Document Type and Number:
WIPO Patent Application WO/2021/011761
Kind Code:
A1
Abstract:
A substrate processing method for area selective deposition includes providing a substrate containing a first film, a second film, and a third film, forming a first blocking layer on the first film, forming a second blocking layer on the second film, where the second blocking layer is different from the first blocking layer, and selectively forming a material film on the third film. In one example, the first film contains a metal film, second film contains a metal-containing liner that surrounds the metal film, and the third film includes a dielectric film that surrounds the metal-containing liner.

Inventors:
TAPILY KANDABARA (US)
Application Number:
PCT/US2020/042305
Publication Date:
January 21, 2021
Filing Date:
July 16, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOKYO ELECTRON LTD (JP)
TOKYO ELECTRON US HOLDINGS INC (US)
International Classes:
H01L21/32; H01L21/3205; H01L21/768
Foreign References:
US20180233350A12018-08-16
US20190164749A12019-05-30
US20070287277A12007-12-13
US20170148640A12017-05-25
US20180218914A12018-08-02
Attorney, Agent or Firm:
LUDVIKSSON, Audunn (US)
Download PDF:
Claims:
WHAT IS CLAIMED IS: 1. A substrate processing method, comprising:

providing a substrate containing a first film, a second film, and a third film;

forming a first blocking layer on the first film;

forming a second blocking layer on the second film, wherein the second blocking layer is different from the first blocking layer; and

selectively forming a material film on the third film. 2. The method of claim 1, wherein the first film, second film, and the third film are selected from the group consisting of a metal film, a metal-containing liner, and a dielectric film. 3. The method of claim 2, wherein the metal-containing liner contains a metal compound or a second metal film that is different from the metal film. 4. The method of claim 3, wherein the metal compound includes TiN, TaN, MnO2, or Al2O3, and the second metal film includes Co or Ru. 5. The method of claim 2, wherein the metal film includes Cu, Al, Ta, Ti, W, Ru, Co, Ni, Pt, or Mo. 6. The method of claim 2, wherein the dielectric film includes SiO2, Al2O3, HfO2, TiO2, ZrO2, SiN, SiCN, SiCOH, or a combination or thereof. 7. The method of claim 1, wherein the first blocking layer and the second blocking layer contain self-assembled monolayers (SAMs). 8. The method of claim 7, wherein the SAMs include a thiol, a silane, carboxylate or a phosphonate.

9. The method of claim 1, wherein the material film includes SiO2, a metal, a metal oxide, or a metal nitride. 10. The method of claim 9, wherein the metal oxide contains HfO2, ZrO2, or Al2O3, and the metal nitride contains HfN, ZrN, or AlN.

11. The method of claim 1, wherein the selectively forming the material film on the third film includes:

depositing the material film on the third film;

depositing material film nuclei on the first film, the second film, or both the first film and the second film; and

removing the material film nuclei by etching. 12. A substrate processing method, comprising:

providing a substrate containing a metal film, a metal-containing liner surrounding the metal film, and a dielectric film surrounding the metal-containing liner;

forming a first blocking layer containing a first self-assembled monolayer (SAM) on the metal film;

forming a second blocking layer containing a second SAM on the metal-containing liner, wherein the second SAM is different from the first SAM; and

selectively forming a material film on the dielectric film. 13. The method of claim 12, wherein the metal-containing liner contains a metal compound or a second metal film that is different from the metal film. 14. The method of claim 13, wherein the metal compound includes TiN, TaN, MnO2 or Al2O3,and the second metal film includes Co or Ru. 15. The method of claim 12, wherein the metal film includes Cu, Al, Ta, Ti, W, Ru, Co, Ni, Pt, or Mo. 16. The method of claim 12, wherein the dielectric film includes SiO2, Al2O3, HfO2, TiO2, ZrO2, SiN, SiCN, SiCOH, or a combination thereof.

17. The method of claim 12, wherein the first SAM includes a thiol and the second SAM includes a phosphonate. 18. The method of claim 12, wherein the material film includes SiO2, a metal, a metal oxide, or a metal nitride.

19. The method of claim 12, wherein the selectively forming the material film on the dielectric film includes:

depositing the material film on the dielectric film;

depositing material film nuclei on the metal film, the metal-containing liner, or both the metal film and the metal-containing liner; and

removing the material film nuclei by etching. 20. A substrate processing method, comprising:

providing a substrate containing a metal film, a metal-containing liner containing a metal compound and surrounding the metal film, and a dielectric film surrounding the metal- containing liner;

forming a first blocking layer containing a first self-assembled monolayer (SAM) on the metal film;

forming a second blocking layer containing a second SAM on the metal-containing liner, and

selectively forming a material film on the dielectric film by:

depositing the material film on the dielectric film;

depositing material film nuclei on the metal film, the metal-containing liner, or both the metal film and the metal-containing liner film, and

removing the material film nuclei by etching. 21. The method of claim 20, wherein the first SAM includes a thiol and the second SAM includes a phosphonate. 22. The method of claim 20, wherein the second SAM includes a phosphonate or a carboxylate. 23. The method of claim 20, wherein forming the second SAM densifies the first SAM.

Description:
METHOD FOR MITIGATING LATERIAL FILM GROWTH IN AREA SELECTIVE

DEPOSITION CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application is related to and claims priority to United States Provisional Patent Application serial no. 62/875,882 filed on July 18, 2019, the entire contents of which are herein incorporated by reference. FIELD OF THE INVENTION

[0002] The present invention relates to semiconductor processing, and more particularly, to methods for area selective film deposition using a plurality of blocking layers to reduce lateral film growth. BACKGROUND OF THE INVENTION

[0003] As device size is getting smaller, the complexity in semiconductor device

manufacturing is increasing. The cost to produce the semiconductor devices is also increasing and cost effective solutions and innovations are needed. As smaller transistors are manufactured, the critical dimension (CD) or resolution of patterned features is becoming more challenging to produce. Selective deposition of thin films is a key step in patterning in highly scaled technology nodes. New deposition methods for controlling lateral growth and reducing defect density of selectively deposited materials are required. SUMMARY OF THE INVENTION

[0004] Embodiments of the invention provide methods for selective material film formation using a plurality of blocking layers.

[0005] According to one embodiment, a substrate processing method includes providing a substrate containing a first film, a second film, and a third film, forming a first blocking layer on the first film, forming a second blocking layer on the second film, where the second blocking layer is different from the first blocking layer, and selectively forming a material film on the third film.

[0006] According to another embodiment, the substrate processing method includes providing a substrate containing a metal film, a metal-containing liner surrounding the metal film, and a dielectric film surrounding the metal-containing liner, forming a first blocking layer containing a first self-assembled monolayer (SAM) on the metal film, forming a second blocking layer containing a second SAM on the metal-containing liner, where the first SAM is different from the second SAM, and selectively forming a material film on the dielectric film.

[0007] According to another embodiment, the substrate processing method includes providing a substrate containing a metal film, a metal-containing liner containing a metal compound and surrounding the metal film, and a dielectric film surrounding the metal- containing liner, forming a first blocking layer containing a first self-assembled monolayer (SAM) on the metal film, forming a second blocking layer containing a second SAM on the metal-containing liner, wherein the first SAM includes a thiol and the second SAM includes a phosphonate. The method further includes selectively forming a material film on the dielectric film by depositing the material film on the dielectric film, depositing material film nuclei on the metal film, the metal-containing liner, or both the metal film and the metal- containing liner film, and removing the material film nuclei by etching. BRIEF DESCRIPTION OF THE DRAWINGS

[0008] A more complete appreciation of embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which:

[0009] FIG. 1 is a process flow diagram for a method of selectively forming a material film on a substrate according to an embodiment of the invention;

[0010] FIGS. 2A– 2F show schematic cross-sectional views of a method of selectively forming a material film on a substrate according to an embodiment of the invention;

[0011] FIG. 3 is a process flow diagram for a method of selectively forming a material film on a substrate according to an embodiment of the invention; and

[0012] FIGS. 4A– 4F show schematic cross-sectional views of a method of selectively forming a material film on a substrate according to an embodiment of the invention. DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS

[0013] Embodiments of the invention provide methods for selective formation of a material film on a surface of a semiconductor device using a plurality of blocking layers on the different substrate materials. Embodiments of the invention may be applied to area selective deposition (ASD) using surface sensitive deposition processes such as atomic layer deposition (ALD), chemical vapor deposition (CVD), and spin-on deposition. The method requires fewer processing steps when compared to conventional lithography and etching processes and can further provide an improved margin for line-to-line breakdown and electrical leakage performance in the semiconductor device.

[0014] Referring now to FIGS. 1 and 2A– 2F, the process flow diagram 1 includes, in 100, providing substrate 2 containing a first film 200 having a first surface 200A, a second film 202 having a second surface 202A, and a third film 204 having a third surface 204A. In the example in FIG. 2A, the incoming planarized substrate 2 has the surfaces 200A, 202A, and 204A in the same horizontal plane. However, in other examples, one or more of the surfaces 200A, 202A, and 204A may be offset vertically. The first film 200, the second film 202, and the third film 204, can have different chemical compositions. In one example, one of those films includes a dielectric film, another film includes a metal-containing liner, and yet another film includes a metal film containing a pure or a substantially pure metal. The dielectric film can, for example, contain SiO 2 , Al 2 O 3 , HfO 2 , TiO 2 , ZrO 2 , SiN, SiCN, SiCOH, or a combination thereof.

In one example, the dielectric film is a low-k material (k<4) that does not contain a metal element. The metal-containing liner can, for example, contain a metal compound such as a metal nitride (e.g., TiN or TaN) or a metal oxide (e.g., MnO 2 or Al 2 O 3 ), or graphene, or a second metal film (e.g., Co or Ru) that is different from the metal film. The metal film can, for example, contain Cu, Al, Ta, Ti, W, Ru, Co, Ni, Pt, or Mo.

[0015] In 102, the surfaces 200A, 202A, and 204A for the substrate 2are optionally cleaned, modified, or both cleaned and modified, in preparation for ASD on one or more of the films 200, 202, and 204. A planarization process used for forming the exemplary planarized substrate 2 can include a chemical mechanical polishing (CMP) process that uses a rotating polishing pad and a chemical slurry. The CMP process can leave polishing residue and oxidized material on the planarized substrate 2, and a surface cleaning process may be used for removing those contaminants. The surface cleaning process can also provide the desired surface termination for further processing or a separate surface modification process may be performed to achieve the desired surface termination. The surface cleaning process can include exposing the substrate 2 to a liquid containing an acid (e.g., citric acid or acetic acid) or to a gas phase plasma (e.g., plasma-excited H 2 gas).

[0016] In 104, the method includes forming a first blocking layer 201 on the first film 200. This is schematically shown in FIG. 2B. The first blocking layer 201 can physically prevent or reduce subsequent deposition of a material film on the first film 200. According to one embodiment, the first blocking layer 201 includes a self-assembled monolayer (SAM) that is selectively formed on the first film 200 relative to the second film 202 and the third film 204. The first blocking layer 201 can be formed by exposing the substrate 2 to a reactant gas that contains a molecule that is capable of selectively forming the SAM. SAMs are molecular assemblies that are spontaneously formed on substrate surfaces by adsorption and are organized into more or less large ordered domains. A SAM can include a molecule that possesses a head group, a tail group, and a functional end group. A SAM is created by the chemisorption of head groups onto the substrate surface from the vapor phase at room temperature or above room temperature, followed by a slow organization of the tail groups. Initially, at small molecular density on the surface, adsorbate molecules form either a disordered mass of molecules or form an ordered two-dimensional "lying down phase", and at higher molecular coverage, over a period of minutes to hours, begin to form three- dimensional crystalline or semicrystalline structures on the substrate surface. The head groups assemble together on the substrate, while the tail groups assemble far from the substrate.

[0017] The head group of the molecule forming the SAM may be selected in view of the ability of the molecule to chemically bond to the different chemical species on different surfaces. Examples of SAM molecules include thiols, silanes, carboxylates, and

phosphonates. For example, a thiol may be used to form a SAM on a metal film, a silane may be used to form a SAM on a dielectric film, and a phosphonate may be used to form a SAM on a metal-containing liner. A carboxylic acid can be tailored for either a metal film or an oxide dielectric film. Examples of silanes include molecules that contain C, H, Cl, F, and Si atoms, or C, H, Cl, and Si atoms. Non-limiting examples of silanes include

perfluorodecyltrichlorosilane (CF 3 (CF 2 ) 7 CH 2 CH 2 SiCl 3 ), chlorodecyldimethylsilane

(CH 3 (CH 2 ) 8 CH 2 Si(CH 3 ) 2 Cl), octadecyltrichlorosilane (CH 3 (CH 2 ) 17 SiCl 3 ), and

tertbutyl(chloro)dimethylsilane ((CH 3 ) 3 CSi(CH 3 ) 2 Cl)). Non-limiting examples of thiols include 1-octadecylthiol (CH 3 (CH 2 ) 6 CH 2 SH), 1-dodecylthiol (CH 3 (CH 2 ) 10 CH 2 SH), and 1H,1H,2H,2H-Perfluoro-1-decanethiol (CF 3 (CF 2 ) 7 CH 2 CH 2 SH). Non-limiting examples of phosphonic acids include octadecyl phosphonic acid (C 18 H 39 O 3 P) and decyl phosphonic acid (C 10 H 23 O 3 P). A non-limiting example of a carboxylic acid is decanoic acid (C 10 H 20 O 2 ).

[0018] In 106, the method further includes forming a second blocking layer 203 on the second film 202. This is schematically show in FIG. 2C. The second blocking layer 203 can include a SAM that is chemically different from the first blocking layer 201. In one example, the second blocking layer 203 can densify the first blocking layer 201. In another

embodiment, the second blocking layer 203 may be formed on the substrate 2 before forming the first blocking layer 201. In yet another embodiment, the formation of the first blocking layer 201 and the second blocking layer 203 may overlap in time, for example by exposing the substrate 2 to a reactant gas containing two different SAM molecules.

[0019] In 108, the method further includes depositing a material film 205 on the substrate 2, where the material film 205 is preferentially deposited on the third film 204 relative to the second film 202 and the first film 200, due to the blocking effects of the first blocking layer 201 on the first film 200 and the second blocking layer 203 on the second film 202. As depicted in FIG. 2D, the deposition of the material film 205 may not be completely selective to the third film 204 due to incomplete blocking of the first and second blocking layers 201 and 203, and unwanted deposition of material film nuclei 205A on the first material 200 and on the second material 202 can occur. The material film nuclei 205 have the same or similar chemical composition as the material film 205. In another example, the film nuclei 205A may form a thin complete layer on the first film 200, the second film 202, or both, where the thin complete layer is thinner than the material film 205 on the third film 204.

[0020] In some examples, the material film 205 can include SiO 2 , a metal, a metal oxide, or a metal nitride. The metal can include a pure or substantially pure metal selected from Cu, Al, Ta, Ti, W, Ru, Co, Ni, Pt, or Mo, for example. The metal oxide can, for example, include HfO 2 , ZrO 2 , or Al 2 O 3 . The metal nitride can, for example, include HfN, ZrN, or AlN. For example, the metal oxide film may be deposited by ALD or plasma-enhanced ALD (PEALD) using alternating exposures of a metal-containing precursor and an oxidizer (e.g., H 2 O, H 2 O 2 , plasma-excited O 2 , or O 3 ), and the metal nitride film may be deposited by ALD or PEALD using alternating exposures of a metal-containing precursor and an nitrogen-containing gas (e.g., NH 3 , N 2 H 4 , or plasma-excited N 2 )

[0021] According to one embodiment, as shown by the process arrow 110, steps 102– 108 may be repeated at least once to increase a thickness of the material film 205 on the third film 204. When step 102 is repeated, the first and second blocking layers 201 and 203 may be removed, and then re-formed in steps 104 and 106.

[0022] In 112, the method further includes optionally removing unwanted material film nuclei 205A from the first film 100 and the second film 102. This is schematically shown in FIG. 2E. The etching process can include a dry etching process, a wet etching process, or a combination thereof. In one example, the etching process may include an atomic layer etching (ALE) process. In some examples, the material film nuclei 205A may be removed using gas exposures of an etching gas, for example using Al(CH 3 ), BCl 3 , TiCl 4 , or SiCl 4 .

[0023] According to one embodiment, shown by the process arrow 114, steps 102– 108 and 112 may be repeated at least once to increase a thickness of the material film 205 that is selectively formed on the third film 204.

[0024] In one example, the removal of the material film nuclei 205 in step 112 may also result in removal of the first and second blocking layers 201 and 203 from the substrate 2. In another example, when the desired thickness of the material film 205 has been reached, the first and second blocking layers 201 and 203 be removed by heating, for example. The resulting substrate 2 is schematically shown in FIG. 2F.

[0025] Referring now to FIGS. 3 and 4A-4D, the process flow diagram 3 includes, in 300, providing substrate 4 containing a metal film 400 having a first surface 400A, a metal- containing liner 402 surrounding the metal film 400 and having a second surface 402A, and a dielectric film 404 surrounding the metal-containing liner 402 and having a third surface 404A. This film structure and other similar film structures are commonly found in integrated circuits where the metal-containing liner 402 acts as a diffusion barrier between the metal film 400 and the dielectric film 404. The dielectric film 404 can, for example, contain SiO 2 , Al 2 O 3 , HfO 2 , TiO 2 , ZrO 2 , SiN, SiCN, SiCOH, or a combination thereof. In one example, the dielectric film 404 is a low-k material (k<4) that does not contain a metal element. The metal- containing liner 402 can, for example, contain a metal compound such as a metal nitride (e.g., TiN or TaN) or a metal oxide (e.g., MnO 2 or Al 2 O 3 ), or graphene, or a second metal film (e.g., Co or Ru) that is different from the metal film 404. The metal film 404 can, for example, contain a pure or a substantially pure metal that includes Cu, Al, Ta, Ti, W, Ru, Co, Ni, Pt, or Mo.

[0026] In 302, the surfaces 400A, 402A, and 404A are optionally cleaned, modified, or both cleaned and modified, in preparation for area selective deposition of a material film on the dielectric film 404. The surface cleaning process may, for example, include exposing the substrate 4 to a liquid containing an acid (e.g., citric acid or acetic acid) or to a gas phase plasma (e.g., plasma-excited H 2 gas).

[0027] In 304, the method includes forming a first blocking layer 401 on the metal film 400. This is schematically show in FIG. 4B. The first blocking layer 201 can physically prevent or reduce subsequent deposition of a material film on the metal film 400. According to one embodiment, the first blocking layer 401 includes a SAM that is selectively formed on the metal film 400 relative to the metal-containing liner 402 and the dielectric film 404. The first blocking layer 401 may be formed by exposing the substrate 4 to a reactant gas containing a thiol that is capable of selectively forming the SAM on the metal film 400. Non-limiting examples of thiols include 1-octadecylthiol (CH 3 (CH 2 ) 6 CH 2 SH), 1-dodecylthiol

(CH 3 (CH 2 ) 10 CH 2 SH), and 1H,1H,2H,2H-Perfluoro-1-decanethiol (CF 3 (CF 2 ) 7 CH 2 CH 2 SH).

[0028] In 306, the method further includes forming a second blocking layer 403 on the metal- containing liner 402. This is schematically show in FIG. 4C. The second blocking layer 403 is chemically different from the first blocking layer 401. According to one embodiment, a reactant gas containing a phosphonate head group may be used to selectively form the blocking layer 403 containing a SAM on the surface 402A of the metal-containing liner 402. Non-limiting examples of phosphonic acids include octadecyl phosphonic acid (C 18 H 39 O 3 P) and decyl phosphonic acid (C 10 H 23 O 3 P). A non-limiting example of a carboxylic acid includes decanoic acid (C 10 H 20 O 2 ).

[0029] In another embodiment, the second blocking layer 403 may be formed on metal- containing liner 402 before forming the first blocking layer 401 on the metal film 400. In yet another embodiment, the formation of the first blocking layer 401 and the second blocking layer 403 may overlap in time, for example by exposing the substrate 4 to a reactant gas containing two different SAM molecules.

[0030] In 408, the method further includes depositing a material film 405 on the substrate 4, where the material film 405 is preferentially deposited on the dielectric film 404 relative to the metal-containing liner 402 and the metal film 400, due to the blocking of the first blocking layer 401 on the metal film 400 and the second blocking layer 403 on the metal- containing liner 402. As depicted in FIG. 4D, the deposition of the material film 405 may not be completely selective to the dielectric film 404 due to incomplete blocking of the first and second blocking layers 401 and 403, and unwanted deposition of material film nuclei 405A on the metal film 400 and on the metal-containing liner 402 may occur. [0031] In some examples, the material film 405 can include SiO 2 , a metal oxide, or a metal nitride. The metal oxide can, for example, include HfO 2 , ZrO 2 , or Al 2 O 3 . The metal nitride can, for example, include HfN, ZrN, or AlN. For example, the metal oxide film may be deposited by ALD or PEALD using alternating exposures of a metal-containing precursor and an oxidizer (e.g., H 2 O, H 2 O 2 , or plasma-excited O 2 or O 3 ), and the metal nitride film may be deposited by ALD or PEALD using alternating exposures of a metal-containing precursor and an nitrogen-containing gas (e.g., NH 3 , N 2 H 4 , or plasma-excited N 2 ).

[0032] According to one embodiment, as shown by the process arrow 410, steps 402– 408 may be repeated at least once to increase a thickness of the material film 405 on the dielectric film 204. When step 402 is repeated, the first and second blocking layers 401 and 403 may be removed, and then re-formed in steps 404 and 406.

[0033] In 412, the method further includes optionally removing unwanted material film nuclei 405A from the metal film 100 and the metal-containing liner 102. This is

schematically shown in FIG. 4E. The etching process can include a dry etching process, a wet etching process, or a combination thereof. In one example, the etching process may include an ALE process. In some examples, the material film nuclei 405A may be removed using gas exposures of an etching gas, for example using Al(CH 3 ), BCl 3 , TiCl 4 , or SiCl 4 .

[0034] According to one embodiment, shown by the process arrow 414, steps 402– 408 and 412 may be repeated at least once to increase a thickness of the material film 405 that is selectively formed on the dielectric film 404.

[0035] In one example, the removal of the material film nuclei 405 in step 412 may also result in removal of the first and second blocking layers 401 and 403 from the substrate 2. In another example, when the desired thickness of the material film 405 has been reached, the first and second blocking layers 401 and 403 be removed by heating, for example. The resulting substrate 4 is schematically shown in FIG. 4F. In one example, film structure in FIG. 4F can include a self-aligned via formed above the metal film 400 and the metal- containing liner 402 by the material film 405.

[0036] Methods for area selective film formation using a plurality of blocking layers have been disclosed in various embodiments. The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. This description and the claims following include terms that are used for descriptive purposes only and are not to be construed as limiting. Persons skilled in the relevant art can appreciate that many modifications and variations are possible in light of the above teaching. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the Figures. It is therefore intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.