Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD FOR PATTERNING A SUBSTRATE USING A LAYER WITH MULTIPLE MATERIALS
Document Type and Number:
WIPO Patent Application WO/2017/205136
Kind Code:
A1
Abstract:
Techniques herein enable integrating stack materials and multiple color materials that require no corrosive gases for etching. Techniques enable a multi-line layer for self-aligned pattern shrinking in which all layers or colors or materials can be limited to silicon-containing materials and organic materials. Such techniques enable self-aligned block integration for 5 nm back-end-of-line trench patterning with an all non-corrosive etch compatible stack for self-aligned block. Embodiments include using lines of a same material but at different heights to provided etch selectivity to one of several lines based on type of material and/or height of material and etch rate.

Inventors:
DEVILLIERS ANTON J (US)
MOHANTY NIHAR (US)
Application Number:
PCT/US2017/033051
Publication Date:
November 30, 2017
Filing Date:
May 17, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOKYO ELECTRON LTD (JP)
TOKYO ELECTRON US HOLDINGS INC (US)
International Classes:
H01L21/027; H01L21/3065; H01L21/768
Foreign References:
US9123656B12015-09-01
US20150255300A12015-09-10
KR20150059634A2015-06-01
US20130309871A12013-11-21
US20140190935A12014-07-10
Attorney, Agent or Firm:
MATHER, Joshua D. (US)
Download PDF:
Claims:
CLAIMS

1. A method of patterning a substrate, the method comprising:

forming mandrels on a target layer of a substrate, the mandrels being comprised of a first material, the target layer being comprised of a third material; forming sidewali spacers on sidewalls of the mandrels by depositing a conformal film on the substrate and removing portions of the conformal film above top surfaces of the mandrels while leaving the conformal film below top surfaces of the mandrels such that the sidewali spacers are formed on vertical sidewalls of the mandrels and such that the conformal film covers the target layer between adjacent sidewali spacers, the conformal film being comprised of a second material;

forming a first etch mask on the substrate, the first etch mask defining openings that uncover regions of both the first materia! and the second material, the first materia! and the second material having different etch resistivities; and

executing a first etch process that selectively etches uncovered portions of the second materia! until the conformal film covering the target layer between adjacent sidewali spacers is removed while the sidewali spacers remain on the substrate,

2. The method of claim 1 , further comprising:

forming a second etch mask on the substrate, the second etch mask defining openings that uncover regions of both the first material and the second material; and executing a second etch process that selectively etches uncovered portions of the first materia! until uncovered mandrels are removed,

3. The method of claim 1 , wherein forming the sidewali spacers includes depositing a second filler materia! on the substrate, the second filler materia! filling trenches defined by the conformal film; and

executing a chemical-mechanical polishing step that removes conformal film material and second filler materia! above top surfaces of the mandrels.

4. The method of claim 3 further comprising removing the second filler materia! from the trenches defined by the conformal film.

5. The method of claim 1 , wherein forming the sidewall spacers includes depositing a second filler material on the substrate, the second filler material filling trenches defined by the conformai film;

executing a third etching process that etches the second filler material until uncovering top surfaces of the conformai film;

executing a fourth etching process that etches the conformai film until uncovering top surfaces of the mandrels.

8. The method of claim 5, further comprising removing the second filler material from the substrate.

7. The method of claim 1 , further comprising:

removing the first etch mask subsequent to executed the first etch process and prior to forming the second etch mask; and

removing the second etch mask subsequent to executing the second etch process, remaining portions of the mandrels, the sidewall spacers, and the conformai film covers the target layer between adjacent sidewall spacers, together forming a combined relief pattern.

8. The method of claim 7, further comprising executing a fifth etch process that uses the combined relief pattern as an etch mask and transfers a corresponding pattern into the target layer.

9. The method of claim 1 , wherein forming the first etch mask includes depositing a first filler material on the substrate that planarizes the substrate, wherein the first etch mask is formed on the first filler material, the first filler material comprised of a fourth material, the first material and the second material and the third material and the fourth material having different etch resistivities relative to each other.

10. The method of claim 1 , wherein forming the second etch mask includes depositing a first filler material on the substrate that planarizes the substrate, wherein the second etch mask is formed on the second filler material, the second filler material comprised of a fourth material, the first material and the second material and the third material and the fourth material having different etch resistivities relative to each other.

11. A method of patterning a substrate, the method comprising:

forming mandrels on a target layer of a substrate, the mandrels being comprised of a first material, the target layer being comprised of a third material, wherein a film of the first material covers the target layer between the mandrels such that a top surface of the mandrels is greater in height as compared to a top surface of the film of the first material;

forming sidewali spacers on sidewalls of the mandrels, the sidewali spacers being comprised of a second material, the sidewali spacers defining open spaces between each other that leave the film of the first material uncovered;

depositing a fill material on the substrate that at least partially fills the open spaces defined between the sidewali spacers, the fill material being comprised of a fourth material, wherein the first material, the third material and the fourth material are all chemically different from each other, and wherein the second material and the fourth material have a same etch resistivity for a particular etch chemistry; and

executing a first etch process that etches uncovered portions of the fill material and that etches uncovered portions of the top layer of the mandrels.

12, A method of patterning a substrate, the method comprising:

forming a tri-iayer stack on a target layer, the tri-!ayer stack including a bottom layer, an intermediate layer, and an upper layer, each layer being deposited as a horizontal and homogeneous layer, the upper layer being comprised of a first material, the intermediate layer being comprised of a fifth material, the bottom layer being comprised of a sixth material, the target layer being comprised of a third material;

forming mandrels comprised of the first material by using a first etch mask and executing a first etch process that etches a mandrel pattern into the upper layer until uncovering the intermediate layer, the intermediate layer having a different etch resistivity as compared to the upper layer such that the intermediate layer provides an etch stop layer for the first etch process; forming sidewall spacers on sidewails of the mandrels, the sidewall spacers being comprised of a second material, the sidewall spacers defining open spaces between each other that leave the bottom layer uncovered;

forming a second etch mask on the substrate, the second etch mask defining openings that uncover regions of both the first material and the second material, the first material and the second material having different etch resistivities; and

executing a second etch process that selectively etches uncovered portions of the sixth material until the bottom layer covering the target layer between adjacent sidewall spacers is removed while the sidewall spacers remain on the substrate.

13. The method of claim 12, further comprising:

forming a third etch mask on the substrate, the third etch mask defining openings that uncover regions of both the first material and the second material, the first material and the second material having different etch resistivities; and

executing a third etch process that selectively etches uncovered portions of the mandrels until uncovering the intermediate layer, then etching uncovered portions of the intermediate layer, then etching uncovered portions of the bottom layer until uncovering the target layer.

14. The method of claim 12, wherein the first material and the sixth material have a same etch resistivity.

15. The method of claim 12, wherein the fifth material and the second material have a same etch resistivity.

16. The method of claim 12, wherein the etch stop layer and the sidewall spacers are comprised of a same material.

Description:
Method for Patterning a Substrate Using a Layer with uitiple Materiais inventors:

Anton J. deVilliers

Nihar Mohanty

CROSS REFERENCE TO RELATED APPLICATIONS

[0001] The present application claims the benefit of U.S. Provisional Patent Application No. 62/340,279, filed on May 23, 2016, entitled "Method for Patterning a Substrate Using a Layer with Multiple Materials," which is incorporated herein by reference in its entirety.

BACKGROUND OF THE INVENTION

[0002] This disclosure relates to substrate processing, and, more particularly, to techniques for patterning substrates including patterning semiconductor wafers.

[0003] Methods of shrinking line-widths in lithographic processes have historically involved using greater-NA optics (numerical aperture), shorter exposure wavelengths, or interfaciai media other than air (e.g., water immersion). As the resolution of conventional lithographic processes has approached theoretical limits, manufacturers have started to turn to double-patterning (DP) methods to overcome optical limitations.

[0004] In material processing methodologies (such as photolithography), creating patterned layers comprises the application of a thin layer of radiation- sensitive material, such as photoresist, to an upper surface of a substrate. This radiation-sensitive material is transformed into a relief pattern which can be used as an etch mask to transfer a pattern into an underlying layer on a substrate. Patterning of the radiation-sensitive material generally involves exposure of actinic radiation through a reticle (and associated optics) onto the radiation-sensitive material using, for example, a photo-lithography system. This exposure can then be followed by the removal of Irradiated regions of the radiation-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) using a developing solvent. This mask layer can comprise multiple sub-layers.

[0005] Conventional lithographic techniques for exposing a pattern of radiation or light onto a substrate have various chailenges that limit a size of features exposed, and limit pitch or spacing between exposed features. One conventional technique to mitigate exposure limitations is that of using a double patterning approach to allow the patterning of smaller features at a smaller pitch than what is currently possible with conventional lithographic techniques.

SUMMARY

[0008] Semiconductor technologies are continually progressing to smaller feature sizes including feature sizes of 14 nanometers, 7 nm, 5 nm, and below. This continual reduction in sizes of features from which various elements are fabricated places ever-greater demands on techniques used to form the features. The concept of "pitch" can be used to describe the sizing of these features. Pitch is the distance between the two identical points in two adjacent repetitive features. Half-pitch then is half the distance between identical features of an array.

[0007] Pitch reduction techniques, often somewhat erroneously yet routinely termed "pitch multiplication" as exemplified by "pitch doubling" etc., can extend the capabilities of photolithography beyond feature size limitations (optical resolution limitations). That is, conventional multiplication of pitch (more accurately pitch reduction or multiplication of pitch density) by a certain factor involves reducing a target pitch by a specified factor. Double patterning techniques used with 193 nm immersion lithography are conventionally considered as one of the most promising techniques to pattern 22nm nodes and smaller. Noteworthy is that self-aligned spacer double patterning (SADP) has already been established as a pitch density doubling process and has been adapted in high volume manufacturing of NAND flash memory devices. Moreover, ultra-fine resolution can be obtained to repeat the SADP step twice as pitch quadrupling.

[0008] Although there exist several patterning techniques to increase pattern density or pitch density, conventional patterning techniques suffer from poor resolution or rough surfaces of etched features. Thus, conventional techniques cannot provide a level of uniformity and fidelity desired for very small dimensions (20 nm and smaller). Reliable lithographic techniques can produce features having a pitch of about 80 nm. Conventional and emerging design specifications, however, desire to fabricate features having critical dimensions less than about 20 nm or 10 nm. Moreover, with pitch density doubling and quadrupling techniques, sub- resolution lines can be created, but making cuts or connections between these lines is challenging, especially since the pitch and dimensions needed for such cuts is far below capabilities of conventional photo-lithography systems.

[0009] In order to maintain the pitch scaling, back-end-of-line (BEOL) trench patterning at node 7 and beyond, demands sub-36 nm pitch. While patterning this small pitch can be challenging, it can be achieved by a variety of methods including EUV 13.5nm lithography self-aligned double patterning (SADP), 193nm self-aligned quadruple patterning (SAQP) or via directed self-assembly (DSA). A key step in the trench patterning process is area selective blocking process where the infinite lines are cut into chains or line ends cut, et cetera, to form the final desired trench layout. As the pitches get smaller, the overlay requirements of this block pattern go beyond the capability of the lithographic tools, which can prevent continued pitch scaling in the BEOL.

[0010] Techniques disclosed herein provide a method for pitch reduction (increasing pitch/feature density) for creating high-resolution features and also for cutting on pitch of sub-resolution features. Techniques herein enable integrating stack materials and different "color" materials that require no corrosive gases for etching. Embodiments can include that all layers or colors or materials (different etch resistivities) can be limited to silicon-containing materials and organic materials. Such techniques enable self-aligned block integration for 5 nm back-end-of-iine trench patterning with an all non-corrosive etch compatible stack for self-aligned block. Self-aligned blocking removes unmanageable overlay requirements of direct lithographic/block pattern, and replaces the lithographic challenge with an etch selectivity challenge. A multi-line layer with lines of different colors (materials with different etch resistivities) is used to further narrow or reduce openings in

lithographic patterns. Lines of different colors can be achieved herein using lines of differing heights of a same material.

[0011] Of course, the order of discussion of the different steps as described herein has been presented for clarity sake. In general, these steps can be performed in any suitable order. Additionally, although each of the different features, techniques, configurations, etc. herein may be discussed in different places of this disclosure, it is intended that each of the concepts can be executed independently of each other or in combination with each other. Accordingly, the present invention can be embodied and viewed in many different ways.

[0012] Note that this summary section does not specify every embodiment and/or incrementally novel aspect of the present disclosure or claimed invention, instead, this summary only provides a preliminary discussion of different

embodiments and corresponding points of novelty over conventional techniques. For additional details and/or possible perspectives of the invention and embodiments, the reader is directed to the Detailed Description section and corresponding figures of the present disclosure as further discussed below.

BRIEF DESCRIPTION OF THE DRAWINGS

[0013] A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description considered in conjunction with the accompanying drawings. The drawings are not necessarily to scale, with emphasis instead being placed upon illustrating the features, principles and concepts.

[0014] FIGS. 1A-18A are cross-sectional side views of an example substrate segment showing a process flow according to embodiments disclosed herein.

[0015] FIGS. 1 B-18B are top views of corresponding example substrate segment from FIGS. 1A-18A according to embodiments disclosed herein. The dotted sectional line indicates the cross section for corresponding cross-sectional side views.

[0018] FIGS. 19A-32A are cross-sectional side views of an example substrate segment showing a process flow according to embodiments disclosed herein,

[0017] FIGS. 19B-32B are top views of corresponding example substrate segment from FIGS. 9A-32A according to embodiments disclosed herein. The dotted sectional line indicates the cross section for corresponding cross-sectional side views.

[0018] FIGS. 33A-48A are cross-sectional side views of an example substrate segment showing a process flow according to embodiments disclosed herein. [0019] FIGS. 33B-46B are top views of corresponding example substrate segment from FIGS. 33A-48A according to embodiments disclosed herein. The dotted sectional line indicates the cross section for corresponding cross-sectional side views.

DETAILED DESCRIPTION

[0020] Techniques disclosed herein provide a method for pitch reduction (increasing pitch/feature density) for creating high-resolution features and also for cutting on pitch of sub-resolution features. Techniques herein enable integrating stack materials and multiple color materials that require no corrosive gases for etching. Embodiments can include that all layers or colors or materials (materials of different etch resistivities) can be limited to silicon-containing materials and organic materials. Such techniques enable self-aligned block integration for 5 nm back-end- of-iine trench patterning with an all non-corrosive etch compatible stack for self- aligned block. For some fabrication processes it is desired to limit corrosive etches because it is possible for corrosive etching to cause defecfivify. Example non- corrosive chemistries for etching include elements or compounds that do not contain chlorine, bromine, or iodine. Self-aligned block refers to the technique, also invented by the inventor, of using multiple lines of different materials to realize sub-resolution patterning. An etch mask with at-resolution (or larger) openings is formed over or under such a multi-line layer, then one or more of the particular lines of material is selectively etched to further narrow or shrink what is defined by the etch mask.

[0021] One embodiment includes a method for patterning a substrate. FIGS. 1A-18A and 1 B-18B illustrate one example flow. Referring now to FIGS. 1A and 1 B, a substrate 105 is provided that has a mandrel layer 115 positioned on a target layer 07. Note that one or more films can be positioned between mandrel layer 1 15 and target layer 107. A photoresist mandrel mask or etch mask 103 is formed on the mandrel layer 1 15 (layer from which mandrels will be formed). Note that the photoresist mandrel mask can include any additional intermediate layers such as a pianarizafion layer, anti-reflective coating layer, et cetera, and so the etch mask 03 itself may not be in direct contact with the mandrel layer 1 15. in these and subsequent drawings, figures with an "A" in the figure reference depict cross- sectional side views of an example substrate segment being processed, while figures with a "B" in the figure reference depict top views of the same substrate segment with a dashed cut line to show where the corresponding cross-sectional side view is made. Note that for convenience in identifying materials, the top views use similar hatching lines for materials as in the cross-sectional views even though the top views do not depict a cross section but instead show top surfaces.

[0022] Using the etch mask 103, mandrels 10 are formed on the target layer of the substrate, such as with a directional or anisotropic etch. The mandrels 110 are comprised of a first material, while the target layer is comprised of a third material. FIGS. 2A and 2B illustrate an example result.

[0023] Next, sidewall spacers 121 are formed on mandrels 110. Sidewall spacers can be formed by depositing a conformal film 120 on the substrate 105. FIGS. 3A and 3B show an example result of such a conformal deposition. Note that such a film is deposited having a generally uniform thickness on both horizontal and vertical surfaces. Portions of the conformal film above top surfaces of mandrels 110 are removed while leaving conformal film below top surfaces of the mandrels 110 such that sidewall spacers 121 are formed on vertical sidewails of the mandrels 1 10, and such that the conformal film covers the target layer 107 between adjacent sidewall spacers. This conformal film is comprised of the second material.

[0024] There are various techniques to remove top surfaces of the conformal film. In one alternative, a fill material 130 is deposited on the substrate. The fill material fills trenches defined by the conformal film, and typically has an over burden of material. FIGS. 4A and 4B illustrate an example result. Then a chemical- mechanical polishing (CMP) step can be executed that removes conformal film material and fill material 130 above top surfaces of the mandrels 1 10. FIGS. 5A and 5B show an example result. Note that the substrate is planarized to top surfaces of the mandrels 110, while leaving plugs of fill material 130 in trenches defined by the sidewall spacers 121.

[0025] An alternative technique for removing top surfaces of the conformal film is etch-based. A partial etch of the fill material 130 can be executed to uncover top surfaces of conformal film 120. An example result is illustrated in FIGS. 6A and 6B. For example, a plasma-based dry etch can be executed based on time or end point detection. Another option is a wet etch with a diffusion-limited solubility shift of the top portion of fill material 130 if fill material 130 is capable of solubility shifts

(developable). After uncovering top surfaces of the conformal film 120, a spacer

8 open etch can be executed to partially etch the conformal film until uncovering top surfaces of mandrels 1 10. This leaves sidewail spacers 121 on mandrels 1 10.

Conformal film material still covers target layer 107 between sidewail spacers 121 because plugs of fill material 130 prevented this floor portion from being etched. An example result is illustrated in FIGS. 7A and 7B. After completing this spacer open etch, the remaining fill material 130 can be removed, as shown in FIGS. 8A and 8B. A multi-line layer has then been created, but with part of the spacer material covering target layer 107 instead of being open or covered with a different material.

[0028] Referring now to FIGS. 9A and 9B, a first etch mask 141 is formed on the substrate. Fill material 131 can be deposited on the substrate prior to forming the etch mask as a planarization layer. The first etch mask 141 defines openings that uncover regions of both the first material (mandrels 1 10) and the second material (sidewail spacers 121 ). The first material and the second material have different etch resistivities relative to each other. This means that for one or more particular etch chemistries, one of these materials can be selectively etched while the other material is not etched, which means that either no etching occurs or an insubstantial amount is etched of one material compared to the other material, such as by having an etch rate that is four or more times slower. Although only two types of materials are seen from a top view through the etch mask, because of differing heights of the sidewail spacers and the conformal film in between sidewail spacers, this height disparity effectively provides three lines of material so that the etch mask 141 can be further narrowed by three alternating lines of material for etch location selection, but only two types of material based on etch chemistries needed. Both of those two materials can be selected to be etchable using a non-corrosive etch chemistry.

[0027] With etch mask 141 in place on the substrate, uncovered portions of fill material 131 can be removed, such as by directional etching. Removing this portion of fill material 131 uncovers mandrels 1 10 and sidewail spacers 121 . FIGS. 10A and 10B show the substrate after this step.

[0028] A first etch process is executed that selectively etches uncovered portions of the second material until the conformal film covering the target layer 107 between adjacent sidewail spacers 121 is removed while the sidewail spacers 121 remain on the substrate. An example result in illustrated in FIGS. 1 1 A and 1 1 B. Note that portions of target layer 107 are now visible from above, which means these portions are now uncovered. Note also that this first etch process shortens the height of sidewail spacers 121. Fill material 131 and etch mask 141 can then be removed, as shown in FIGS. 12A and 12B.

[0029] Referring now to FIGS. 13A and 13B, a second etch mask 142 is formed on the substrate. Fill material 132 can be deposited on the substrate prior to forming the etch mask. The second etch mask 142 defines openings that uncover regions of both the first material (mandrels 1 10) and the second material (sidewail spacers 121). The first material and the second material have different etch resistivities relative to each other.

[0030] With etch mask 142 in place on the substrate, uncovered portions of fill material 132 can be removed, such as by directional etching. Removing this portion of fill material 132 uncovers mandrels 1 10 and sidewail spacers 121. FIGS. 14A and 14B show the substrate after this step.

[0031] A second etch process is executed that selectively etches uncovered portions of the first material until uncovered mandrels 110 are removed. An example result in illustrated in FIGS. 15A and 15B. Note that portions of target layer 107 are now visible from above, which means these portions are now uncovered. Fill material 132 and etch mask 142 can then be removed, as shown in FIGS. 16A and 16B.

[0032] The remaining portions of the mandrels, the sidewail spacers, and the conformal film covers the target layer between adjacent sidewail spacers, together form a combined relief pattern, which can be used as an etch mask to transfer into target layer 107 or other memorization layer. FIGS. 17A and 17B illustrate a result of etching uncovered portions of the target layer 107. F1GS.18A and 18B then show a patterned target layer 107 after completing pattern transfer. Accordingly, features can be created that are sub-resolution and formed without corrosive etches.

[0033] FIGS. 19A-32A and 19B-32B illustrate another example embodiment. Referring now to FIGS. 19A and 19B, a substrate 105 is provided that has a mandrel layer 1 15 positioned on a target layer 107. Note that one or more films can be positioned between mandrel layer 115 and target layer 107. A photoresist mandrel mask or etch mask 103 is formed thereon on the mandrel layer.

[0034] Mandrels 110, are then formed on the target layer 107 of the substrate. The mandrels are comprised of a first material, while the target layer is comprised of a third material. A film of the first material 1 16 covers the target layer between the mandrels such that a top surface of the mandrels 110 is greater in height as compared to a top surface of the film of the first material. This height difference is at least two times a thickness of the film of the first material 116. This is illustrated in FIGS. 20A and 20B. Such mandrels can be formed by partially etching through a layer of first material, without completely etching through the layer of first material. This partial etch essentially leaves a relatively small thickness of first material over the target layer as part of the process of forming mandrels 110.

[0035] A conformal film 120 can then be deposited on the substrate, as shown in FIGS. 21A and 21 B. A spacer open etch can be performed to form sidewail spacers 121 as shown in FIGS. 22A and 22B. The sidewail spacers 121 are comprised of a second material. The sidewail spacers 121 define open spaces between each other that leave the film of the first material 116 uncovered.

[0038] The substrate can be planarized with fill material 13 , and then have a first etch mask 141 formed thereon, as in FIGS. 23A and 23B. Uncovered fill material 131 can be etched to uncover the multi-line layer as shown in FIGS. 24A and 24B. Next, optionally, uncovered film of the first material 1 16 can be etched until the target layer 107 is uncovered. Note that uncovered mandrels will also be etched a same amount/rate as the film of the first material and so these uncovered mandrels will be reduced in height, but still have sufficient height to cover the substrate. FIGS. 25A and 25B show an example result. Remaining fill material 132 and etch mask materials can be removed, as shown in FIGS. 26A and 26B.

[0037] The substrate can be planarized with fill material 132, and then have a second etch mask 142 formed thereon, as in FIGS. 27A and 27B. Uncovered fill material 132 can be etched to uncover the multi-line layer as shown in FIGS. 28A and 28B. Next, optionally, uncovered mandrels 1 10 can be etched until the target layer 107 is uncovered. FIGS. 29A and 29B show an example result. Remaining fill material 132 and etch mask materials can be removed, as shown in FIGS. 30A and SOB,

[0038] The remaining portions of the mandrels, the sidewail spacers, and the film of the first material, together form a combined relief pattern, which can be used as an etch mask to transfer into target layer 107 or other memorization layer. FIGS. 3 A and 3 B illustrate a result of etching uncovered portions of the target layer 107. FIGS.32A and 32B then show a patterned target layer 107 after completing pattern transfer. [0039] FIGS. 33A-46A and 33B-46B illustrate another example embodiment. Referring now to FIGS. 33A and 33B, a substrate 105 is provided that has a mandrel layer 1 5 positioned on a target layer 107. A photoresist mandrel mask or etch mask 103 is formed thereon on the mandrel layer. Mandrel layer 115 can be formed as a tri-layer stack on the target layer 107. The tri-layer stack includes a bottom layer 1 11 , an intermediate layer 12, and an upper layer 13, with each layer being deposited as a horizontal and homogeneous layer. The upper layer 113 is comprised of a first material, the intermediate layer 112 is comprised of a fifth material, the bottom layer 1 11 is comprised of a sixth material, and the target layer 07 is comprised of a third material.

[0040] Mandrels 110, are then formed on the target layer 107 of the substrate and are comprised of the first material by using a etch mask 103 and executing a first etch process that etches a mandrel pattern into the upper layer 113 until uncovering the intermediate layer 1 12. The intermediate layer has a different etch resistivity as compared to the upper layer such that the intermediate layer provides an etch stop layer for the etch process to form mandrels. FIGS. 34A and 34B show an example result.

[0041] A conformal film 120 can then be deposited on the substrate, as shown in FIGS. 35A and 35B. A spacer open etch can be performed to form sidewail spacers 121 as shown in FIGS. 36A and 36B. The sidewail spacers 121 are comprised of a second material. The sidewail spacers define open spaces between each other that leave the bottom layer 11 1 uncovered. Note that different etch chemistries can be used to etch conformal film 120 and intermediate layer 112. Alternatively, conformal film 120 and intermediate layer 112 can be a same material or have a same etch resistivity to be able to etch both materials with a same etch chemistry.

[0042] The substrate can be planarized with fill material 3 , and then have a first etch mask 141 formed thereon, as in FIGS. 37A and 37B. Uncovered fill material 131 can be etched to uncover the multi-line layer as shown in FIGS. 38A and 38B. Next, optionally, uncovered portions of the bottom layer 111 can be etched until the bottom layer 1 covering the target layer between adjacent sidewail spacers is removed while the sidewail spacers remain on the substrate. A result is illustrated in FIGS. 39A and 39B. Note that uncovered mandrels can also be etched a same amount as the bottom layer if both materials have a same etch resistivity. Remaining fill materia! 132 and etch mask materials can be removed, as shown in FIGS. 40A and 40B.

[0043] The substrate can be pianarized with fill material 132, and then have a second etch mask 142 formed thereon, as in FIGS. 41 A and 41 B. Uncovered fill material 132 can be etched to uncover the multi-line layer as shown in FIGS. 42A and 42B. Next, optionally, uncovered mandrels 1 10 can be etched until uncovering the intermediate layer 1 12, then etching uncovered portions of the intermediate layer, then etching uncovered portions of the bottom layer 1 11 until the target layer 107 is uncovered. FIGS. 43A and 43B show an example result. Remaining fill material 132 and etch mask materials can be removed, as shown in FIGS. 44A and 44B.

[0044] The remaining portions of the mandrels, the sidewail spacers, and the film of the first material, together form a combined relief pattern, which can be used as an etch mask to transfer into target layer 07 or other memorization layer. FIGS. 45A and 45B illustrate a result of etching uncovered portions of the target layer 107. F1GS.46A and 46B then show a patterned target layer 107 after completing pattern transfer.

[0045] In the preceding description, specific details have been set forth, such as a particular geometry of a processing system and descriptions of various components and processes used therein. It should be understood, however, that techniques herein may be practiced in other embodiments that depart from these specific details, and that such details are for purposes of explanation and not limitation. Embodiments disclosed herein have been described with reference to the accompanying drawings. Similarly, for purposes of explanation, specific numbers, materials, and configurations have been set forth in order to provide a thorough understanding. Nevertheless, embodiments may be practiced without such specific details. Components having substantially the same functional constructions are denoted by like reference characters, and thus any redundant descriptions may be omitted.

[0046] Various techniques have been described as multiple discrete

operations to assist in understanding the various embodiments. The order of description should not be construed as to imply that these operations are necessarily order dependent, indeed, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment. Various additional operations may be performed and/or described operations may be omitted in additional embodiments.

[0047] "Substrate" or "target substrate" as used herein generical!y refers to an object being processed in accordance with the invention. The substrate may include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor wafer, reticle, or a layer on or overlying a base substrate structure such as a thin film. Thus, substrate is not limited to any particular base structure, underlying layer or overlying layer, patterned or un-patterned, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures. The description may reference particular types of substrates, but this is for illustrative purposes only.

[0048] Those skilled in the art will also understand that there can be many variations made to the operations of the techniques explained above while still achieving the same objectives of the invention. Such variations are intended to be covered by the scope of this disclosure. As such, the foregoing descriptions of embodiments of the invention are not intended to be limiting. Rather, any limitations to embodiments of the invention are presented in the following claims.