Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHOD
Document Type and Number:
WIPO Patent Application WO/2020/169419
Kind Code:
A1
Abstract:
A metrology system (400) includes a radiation source (412) configured to generate radiation (413), an optical element (414) configured to direct the radiation (415) toward a grating structure (418) comprising a non-constant pitch, and a detector (428) configured to receive radiation (429) scattered by the grating structure and generate a measurement based on the received radiation. The metrology system is configured to generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

Inventors:
LIN YUXIANG (US)
ADAMS JOSHUA (US)
Application Number:
PCT/EP2020/053480
Publication Date:
August 27, 2020
Filing Date:
February 11, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML HOLDING NV (NL)
International Classes:
G03F7/20; G01N21/956; G03F9/00
Domestic Patent References:
WO2013152878A22013-10-17
Foreign References:
US20050195398A12005-09-08
EP1788451A12007-05-23
US20040179184A12004-09-16
EP2071402A22009-06-17
US7511799B22009-03-31
US6961116B22005-11-01
US20090195768A12009-08-06
US6297876B12001-10-02
US8706442B22014-04-22
Other References:
RAYMOND ET AL.: "Multiparameter Grating Metrology Using Optical Scatterometry", J. VAC. SCI. TECH. B, vol. 15, no. 2, 1997, pages 361 - 368, XP000729016, DOI: 10.1116/1.589320
NIU ET AL.: "Specular Spectroscopic Scatterometry in DUV Lithography", SPIE, vol. 3677, 1999, XP000981735, DOI: 10.1117/12.350802
Attorney, Agent or Firm:
SLENDERS, Petrus Johannes Waltherus (NL)
Download PDF:
Claims:
CLAIMS

1. A metrology system comprising:

a radiation source configured to generate radiation;

an optical element configured to direct the radiation toward a grating structure comprising a non-constant pitch; and

a detector configured to receive radiation scattered by the grating structure and generate a measurement based on the received radiation,

wherein the metrology system is configured to:

generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and

determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

2. The metrology system of claim 1, wherein the non-constant pitch comprises a modulation having a wide-narrow- wide or narrow-wide-narrow arrangement.

3. The metrology system of claim 2, wherein the modulation is substantially asymmetric.

4. The metrology system of claim 2, wherein:

the set of locations is distributed substantially uniformly across the modulation;

the set of measurements is generated by scanning the metrology system across the wide- narrow-wide or narrow-wide-narrow arrangement; and

a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes a first displacement and a second displacement having a direction opposite to the first displacement during the scanning.

5. The metrology system of claim 2, wherein the non-constant pitch further comprises one or more repetitions of the modulation.

6. The metrology system of claim 5, wherein:

the set of measurements is generated by scanning the metrology system across the grating structure over the modulation and the one or more repetitions of the modulation; and a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes, during the scanning, a first displacement, a second displacement having a direction opposite to the first displacement, and a third displacement having a direction similar to the first displacement.

7. The metrology system of claim 1, wherein the parameter of the lithographic process comprises overlay error, structure size, line thickness, critical dimension, layer composition, layer thickness, material uniformity, layer uniformity, damage, and/or contamination·

8. A lithographic apparatus comprising:

an illumination system configured to illuminate a pattern of a patterning device;

a projection system configured to project an image of the pattern onto a substrate; and a metrology system comprising:

a radiation source configured to generate radiation;

an optical element configured to direct the radiation toward a grating structure comprising a non-constant pitch; and

a detector configured to receive radiation scattered by the grating structure and generate a measurement based on the received radiation,

wherein the metrology system is configured to:

generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

9. The lithographic apparatus of claim 8, wherein the non-constant pitch comprises a modulation having a wide-narrow- wide or narrow-wide-narrow arrangement.

10. The lithographic apparatus of claim 9, wherein the modulation is substantially asymmetric.

11. The lithographic apparatus of claim 9, wherein:

the set of locations is distributed substantially uniformly across the modulation;

the set of measurements is generate by scanning the metrology system across the wide- narrow-wide or narrow-wide-narrow arrangement; and a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes a first displacement and a second displacement having a direction opposite to the first displacement during the scanning. 12. The lithographic apparatus of claim 9, wherein the non-constant pitch further comprises one or more repetitions of the modulation.

13. The lithographic apparatus of claim 12, wherein:

the set of measurements is generate by scanning the metrology system across the grating structure over the modulation and the one or more repetitions of the modulation; and

a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes, during the scanning, a first displacement, a second displacement having a direction opposite to the first displacement, and a third displacement having a direction similar to the first displacement.

14. The lithographic apparatus of claim 8, wherein the parameter of the lithographic process comprises overlay error, structure size, line thickness, critical dimension, layer composition, layer thickness, material uniformity, layer uniformity, damage, and/or contamination·

Description:
METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHOD

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority of U.S. Provisional Patent Application Number

62/807,332, which was filed on February 19, 2019, and which is incorporated herein in its entirety by reference.

FIELD

[0002] The present disclosure relates to alignment apparatuses and systems, for example, alignment source for lithographic apparatuses and systems.

BACKGROUND

[0003] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, can be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., comprising part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation- sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the target portions parallel or anti-parallel to this scanning direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.

[0004] Another lithographic system is an interferometric lithographic system where there is no patterning device, but rather a light beam is split into two beams, and the two beams are caused to interfere at a target portion of the substrate through the use of a reflection system. The interference causes lines to be formed at the target portion of the substrate.

[0005] During lithographic operation, different processing steps may require different layers to be sequentially formed on the substrate. Accordingly, it can be necessary to position the substrate relative to prior patterns formed thereon with a high degree of accuracy. Generally, alignment marks are placed on the substrate to be aligned and are located with reference to a second object. A lithographic apparatus may use an alignment apparatus for detecting positions of the alignment marks and for aligning the substrate using the alignment marks to ensure accurate exposure from a mask. Misalignment between the alignment marks at two different layers is measured as overlay error.

[0006] In order to monitor the lithographic process, parameters of the patterned substrate are measured. Parameters may include, for example, the overlay error between successive layers formed in or on the patterned substrate and critical linewidth of developed photosensitive resist. This measurement can be performed on a product substrate and/or on a dedicated metrology target. There are various techniques for making measurements of the microscopic structures formed in lithographic processes, including the use of scanning electron microscopes and various specialized tools. A fast and non-invasive form of a specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate or on a previously printed layer and properties of the scattered or reflected beam are measured. By comparing the properties of the beam before and after it has interacted with the substrate, the properties of the substrate can be determined. This can be done, for example, by comparing the reflected beam with data stored in a library of known measurements associated with known substrate properties. Spectroscopic scatterometers direct a broadband radiation beam onto the substrate and measure the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range. By contrast, angularly resolved scatterometers use a monochromatic radiation beam and measure the intensity of the scattered radiation as a function of angle.

[0007] Such optical scatterometers can be used to measure parameters, such as critical dimensions of developed photosensitive resist or overlay error (OV) between two layers formed in or on the patterned substrate. Properties of the substrate can be determined by comparing the properties of an illumination beam before and after the beam has been reflected or scattered by the substrate.

[0008] Alignment assemblies require precision over varying environmental conditions.

Accordingly, there is a need to provide an optical alignment assembly that mitigates misalignment regardless of environmental conditions.

SUMMARY

[0009] In some embodiments, a metrology system comprises a radiation source configured to generate radiation, an optical element configured to direct the radiation toward a grating structure comprising a non-constant pitch, and a detector configured to receive radiation scattered by the grating structure and generate a measurement based on the received radiation. The metrology system is configured to generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

[0010] In some embodiments, a lithographic apparatus comprises an illumination system configured to illuminate a pattern of a patterning device, a projection system configured to project an image of the pattern onto a substrate, and a metrology system. The metrology system comprises a radiation source configured to generate radiation, an optical element configured to direct the radiation toward a grating structure comprising a non-constant pitch, and a detector configured to receive radiation scattered by the grating structure and generate a measurement based on the received radiation. The metrology system is configured to generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

[0011] In some embodiments, a method of measuring a parameter of a lithographic process or calibrating a metrology system comprises directing radiation toward a grating structure comprising a non-constant pitch, receiving radiation scattered by the grating structure using a detector, generating a set of measurements corresponding to a set of locations on the grating structure along the direction of the non-constant pitch, and determining, based on the set of measurements, the parameter of the lithographic process or a correction for the metrology system.

[0012] Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.

BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES

[0013] The accompanying drawings, which are incorporated herein and form part of the specification, illustrate the present invention and, together with the description, further serve to explain the principles of the invention and to enable a person skilled in the relevant art(s) to make and use the invention.

[0014] FIG. 1A shows a schematic of a reflective lithographic apparatus, according to some embodiments.

[0015] FIG. IB shows a schematic of a transmissive lithographic apparatus, according to some embodiments.

[0016] FIG. 2 shows a more detailed schematic of the reflective lithographic apparatus, according to some embodiments.

[0017] FIG. 3 shows a schematic of a lithographic cell, according to some embodiments.

[0018] FIGS. 4A and 4B show schematics of alignment apparatuses, according to some embodiments.

[0019] FIG. 5 shows a grating structure, according to some embodiments.

[0020] FIG. 6 shows a diagram of a pupil plane, according to some embodiments.

[0021] FIG. 7 and 8 show graphs of spot position in a pupil plane versus time, according to some embodiments.

[0022] The features and advantages of the present invention will become more apparent from the detailed description set forth below when taken in conjunction with the drawings, in which like reference characters identify corresponding elements throughout. In the drawings, like reference numbers generally indicate identical, functionally similar, and/or structurally similar elements. Additionally, generally, the left-most digit(s) of a reference number identifies the drawing in which the reference number first appears. Unless otherwise indicated, the drawings provided throughout the disclosure should not be interpreted as to-scale drawings.

DETAILED DESCRIPTION

[0023] This specification discloses one or more embodiments that incorporate the features of this invention. The disclosed embodiment(s) merely exemplify the invention. The scope of the invention is not limited to the disclosed embodiment(s). The invention is defined by the claims appended hereto.

[0024] The embodiment(s) described, and references in the specification to“one embodiment,” “an embodiment,” “an example embodiment,” etc., indicate that the embodiment(s) described can include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is understood that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

[0025] Spatially relative terms, such as“beneath,”“below,”“lower,”“above,”“on,

“upper” and the like, can be used herein for ease of description to describe one element or feature’s relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus can be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein can likewise be interpreted accordingly.

[0026] The term“about” as used herein indicates the value of a given quantity that can vary based on a particular technology. Based on the particular technology, the term“about” can indicate a value of a given quantity that varies within, for example, 10-30% of the value (e.g., ±10%, ±20%, or ±30% of the value).

[0027] Embodiments of the disclosure can be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure can also be implemented as instructions stored on a machine-readable medium, which can be read and executed by one or more processors. A machine-readable medium can include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium can include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, and/or instructions can be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.

[0028] Before describing such embodiments in more detail, however, it is instructive to present an example environment in which embodiments of the present disclosure can be implemented.

[0029] Example Lithographic Systems

[0030] FIGS. 1A and IB show schematic illustrations of a lithographic apparatus 100 and lithographic apparatus 100’, respectively, in which embodiments of the present disclosure can be implemented. Lithographic apparatus 100 and lithographic apparatus 100’ each include the following: an illumination system (illuminator) IL configured to condition a radiation beam B (for example, deep ultra violet or extreme ultra violet radiation); a support structure (for example, a mask table) MT configured to support a patterning device (for example, a mask, a reticle, or a dynamic patterning device) MA and connected to a first positioner PM configured to accurately position the patterning device MA; and, a substrate table (for example, a wafer table) WT configured to hold a substrate (for example, a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate W. Lithographic apparatus 100 and 100’ also have a projection system PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion (for example, comprising one or more dies) C of the substrate W. In lithographic apparatus 100, the patterning device MA and the projection system PS are reflective. In lithographic apparatus 100’, the patterning device MA and the projection system PS are transmissive.

[0031] The illumination system IL can include various types of optical components, such as refractive, reflective, catadioptric, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof, for directing, shaping, or controlling the radiation beam B.

[0032] The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device MA with respect to a reference frame, the design of at least one of the lithographic apparatus 100 and 100’, and other conditions, such as whether or not the patterning device MA is held in a vacuum environment. The support structure MT can use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device MA. The support structure MT can be a frame or a table, for example, which can be fixed or movable, as required. By using sensors, the support structure MT can ensure that the patterning device MA is at a desired position, for example, with respect to the projection system PS.

[0033] The term“patterning device” MA should be broadly interpreted as referring to any device that can be used to impart a radiation beam B with a pattern in its cross-section, such as to create a pattern in the target portion C of the substrate W. The pattern imparted to the radiation beam B can correspond to a particular functional layer in a device being created in the target portion C to form an integrated circuit.

[0034] The patterning device MA can be transmissive (as in lithographic apparatus

100’ of FIG. IB) or reflective (as in lithographic apparatus 100 of FIG. 1A). Examples of patterning devices MA include reticles, masks, programmable mirror arrays, or programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase shift, or attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in the radiation beam B, which is reflected by a matrix of small mirrors.

[0035] The term“projection system” PS can encompass any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors, such as the use of an immersion liquid on the substrate W or the use of a vacuum. A vacuum environment can be used for EUV or electron beam radiation since other gases can absorb too much radiation or electrons. A vacuum environment can therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.

[0036] Lithographic apparatus 100 and/or lithographic apparatus 100’ can be of a type having two (dual stage) or more substrate tables WT (and/or two or more mask tables). In such “multiple stage” machines, the additional substrate tables WT can be used in parallel, or preparatory steps can be carried out on one or more tables while one or more other substrate tables WT are being used for exposure. In some situations, the additional table may not be a substrate table WT.

[0037] The lithographic apparatus can also be of a type wherein at least a portion of the substrate can be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid can also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term“immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.

[0038] Referring to FIGS. 1 A and IB, the illuminator IL receives a radiation beam from a radiation source SO. The source SO and the lithographic apparatus 100, 100’ can be separate physical entities, for example, when the source SO is an excimer laser. In such cases, the source SO is not considered to form part of the lithographic apparatus 100 or 100’, and the radiation beam B passes from the source SO to the illuminator IL with the aid of a beam delivery system BD (in FIG. IB) including, for example, suitable directing mirrors and/or a beam expander. In other cases, the source SO can be an integral part of the lithographic apparatus 100, 100’, for example, when the source SO is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD, if required, can be referred to as a radiation system.

[0039] The illuminator IL can include an adjuster AD (in FIG. IB) for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as“s-outer” and“s-inner,” respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL can comprise various other components (in FIG. IB), such as an integrator IN and a condenser CO. The illuminator IL can be used to condition the radiation beam B to have a desired uniformity and intensity distribution in its cross section.

[0040] Referring to FIG. 1A, the radiation beam B is incident on the patterning device

(for example, mask) MA, which is held on the support structure (for example, mask table) MT, and is patterned by the patterning device MA. In lithographic apparatus 100, the radiation beam B is reflected from the patterning device (for example, mask) MA. After being reflected from the patterning device (for example, mask) MA, the radiation beam B passes through the projection system PS, which focuses the radiation beam B onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (for example, an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately (for example, so as to position different target portions C in the path of the radiation beam B). Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the patterning device (for example, mask) MA with respect to the path of the radiation beam B. Patterning device (for example, mask) MA and substrate W can be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.

[0041] Referring to FIG. IB, the radiation beam B is incident on the patterning device

(for example, mask MA), which is held on the support structure (for example, mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. The projection system has a pupil conjugate PPU to an illumination system pupil IPU. Portions of radiation emanate from the intensity distribution at the illumination system pupil IPU and traverse a mask pattern without being affected by diffraction at the mask pattern and create an image of the intensity distribution at the illumination system pupil IPU.

[0042] The projection system PS projects an image MP’ of the mask pattern MP, where image MP’ is formed by diffracted beams produced from the mark pattern MP by radiation from the intensity distribution, onto a photoresist layer coated on the substrate W. For example, the mask pattern MP can include an array of lines and spaces. A diffraction of radiation at the array and different from zeroth order diffraction generates diverted diffracted beams with a change of direction in a direction perpendicular to the lines. Undiffracted beams (i.e., so-called zeroth order diffracted beams) traverse the pattern without any change in propagation direction. The zeroth order diffracted beams traverse an upper lens or upper lens group of the projection system PS, upstream of the pupil conjugate PPU of the projection system PS, to reach the pupil conjugate PPU. The portion of the intensity distribution in the plane of the pupil conjugate PPU and associated with the zeroth order diffracted beams is an image of the intensity distribution in the illumination system pupil IPU of the illumination system IL. The aperture device PD, for example, is disposed at or substantially at a plane that includes the pupil conjugate PPU of the projection system PS.

[0043] The projection system PS is arranged to capture, by means of a lens or lens group L, not only the zeroth order diffracted beams, but also first-order or first- and higher- order diffracted beams (not shown). In some embodiments, dipole illumination for imaging line patterns extending in a direction perpendicular to a line can be used to utilize the resolution enhancement effect of dipole illumination. For example, first-order diffracted beams interfere with corresponding zeroth-order diffracted beams at the level of the wafer W to create an image of the line pattern MP at highest possible resolution and process window (i.e., usable depth of focus in combination with tolerable exposure dose deviations). In some embodiments, astigmatism aberration can be reduced by providing radiation poles (not shown) in opposite quadrants of the illumination system pupil IPU. Further, in some embodiments, astigmatism aberration can be reduced by blocking the zeroth order beams in the pupil conjugate PPU of the projection system associated with radiation poles in opposite quadrants. This is described in more detail in US 7,511,799 B2, issued Mar. 31, 2009, which is incorporated by reference herein in its entirety.

[0044] With the aid of the second positioner PW and position sensor IF (for example, an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately (for example, so as to position different target portions C in the path of the radiation beam B). Similarly, the first positioner PM and another position sensor (not shown in FIG. IB) can be used to accurately position the mask MA with respect to the path of the radiation beam B (for example, after mechanical retrieval from a mask library or during a scan).

[0045] In general, movement of the mask table MT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT can be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner), the mask table MT can be connected to a short-stroke actuator only or can be fixed. Mask MA and substrate W can be aligned using mask alignment marks Ml, M2, and substrate alignment marks PI, P2. Although the substrate alignment marks (as illustrated) occupy dedicated target portions, they can be located in spaces between target portions (known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks can be located between the dies.

[0046] Mask table MT and patterning device MA can be in a vacuum chamber V, where an in-vacuum robot IVR can be used to move patterning devices such as a mask in and out of vacuum chamber. Alternatively, when mask table MT and patterning device MA are outside of the vacuum chamber, an out-of-vacuum robot can be used for various transportation operations, similar to the in- vacuum robot IVR. Both the in-vacuum and out-of- vacuum robots need to be calibrated for a smooth transfer of any payload (e.g., mask) to a fixed kinematic mount of a transfer station.

[0047] The lithographic apparatus 100 and 100’ can be used in at least one of the following modes:

[0048] 1. In step mode, the support structure (for example, mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam B is projected onto a target portion C at one time (i.e., a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.

[0049] 2. In scan mode, the support structure (for example, mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam B is projected onto a target portion C (i.e., a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (for example, mask table) MT can be determined by the (de-)magnification and image reversal characteristics of the projection system PS.

[0050] 3. In another mode, the support structure (for example, mask table) MT is kept substantially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam B is projected onto a target portion C. A pulsed radiation source SO can be employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes a programmable patterning device, such as a programmable mirror array.

[0051] Combinations and/or variations on the described modes of use or entirely different modes of use can also be employed.

[0052] In a further embodiment, lithographic apparatus 100 includes an extreme ultraviolet (EUV) source, which is configured to generate a beam of EUV radiation for EUV lithography. In general, the EUV source is configured in a radiation system, and a corresponding illumination system is configured to condition the EUV radiation beam of the EUV source.

[0053] FIG. 2 shows the lithographic apparatus 100 in more detail, including the source collector apparatus SO, the illumination system IL, and the projection system PS. The source collector apparatus SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector apparatus SO. An EUV radiation emitting plasma 210 can be formed by a discharge produced plasma source. EUV radiation can be produced by a gas or vapor, for example Xe gas, Li vapor, or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 210 is created by, for example, an electrical discharge causing at least a partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor, or any other suitable gas or vapor can be required for efficient generation of the radiation. In some embodiments, a plasma of excited tin (Sn) is provided to produce EUV radiation.

[0054] The radiation emitted by the hot plasma 210 is passed from a source chamber

211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap), which is positioned in or behind an opening in source chamber 211. The contaminant trap 230 can include a channel structure. Contamination trap 230 can also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure.

[0055] The collector chamber 212 can include a radiation collector CO, which can be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF. The virtual source point IF is commonly referred to as the intermediate focus, and the source collector apparatus is arranged such that the intermediate focus IF is located at or near an opening 219 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210. Grating spectral filter 240 is used in particular for suppressing infra-red (IR) radiation.

[0056] Subsequently the radiation traverses the illumination system IL, which can include a faceted field mirror device 222 and a faceted pupil mirror device 224 arranged to provide a desired angular distribution of the radiation beam 221, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 221 at the patterning device MA, held by the support structure MT, a patterned beam 226 is formed and the patterned beam 226 is imaged by the projection system PS via reflective elements 228, 229 onto a substrate W held by the wafer stage or substrate table WT.

[0057] More elements than shown can generally be present in illumination optics unit

IL and projection system PS. The grating spectral filter 240 can optionally be present, depending upon the type of lithographic apparatus. Further, there can be more mirrors present than those shown in the FIG. 2, for example there can be one to six additional reflective elements present in the projection system PS than shown in FIG. 2.

[0058] Collector optic CO, as illustrated in FIG. 2, is depicted as a nested collector with grazing incidence reflectors 253, 254, and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254, and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source.

[0059] Exemplary Lithographic Cell

[0060] FIG. 3 shows a lithographic cell 300, also sometimes referred to a lithocell or cluster, according to some embodiments. Lithographic apparatus 100 or 100’ can form part of lithographic cell 300. Lithographic cell 300 can also include one or more apparatuses to perform pre- and post-exposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH, and bake plates BK. A substrate handler, or robot, RO picks up substrates from input/output ports I/O 1 , 1/02, moves them between the different process apparatuses and delivers them to the loading bay LB of the lithographic apparatus 100 or 100’. These devices, which are often collectively referred to as the track, are under the control of a track control unit TCU, which is itself controlled by a supervisory control system SCS, which also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatuses can be operated to maximize throughput and processing efficiency. [0061] Exemplary Alignment Apparatus

[0062] In order to control the lithographic process to place device features accurately on the substrate, alignment marks are generally provided on the substrate, and the lithographic apparatus includes one or more alignment apparatuses and/or systems by which positions of marks on a substrate must be measured accurately. These alignment apparatuses are effectively position measuring apparatuses. Different types of marks and different types of alignment apparatuses and/or systems are known from different times and different manufacturers. A type of system widely used in current lithographic apparatus is based on a self-referencing interferometer as described in U.S. Patent No. 6,961,116 (den Boef et al.). Generally marks are measured separately to obtain X- and Y-positions. A combined X- and Y-measurement can be performed using the techniques described in U.S. Publication No. 2009/195768 A (Bijnen et ai), however. The full contents of both of these disclosures are incorporated herein by reference.

[0063] FIG. 4A shows a schematic of a cross-sectional view of an alignment apparatus

400 that can be implemented as a part of lithographic apparatus 100 or 100’, according to some embodiments. In some embodiments, alignment apparatus 400 can be configured to align a substrate (e.g., substrate W) with respect to a patterning device (e.g., patterning device MA). Alignment apparatus 400 can be further configured to detect positions of alignment marks on the substrate and to align the substrate with respect to the patterning device or other components of lithographic apparatus 100 or 100’ using the detected positions of the alignment marks. Such alignment of the substrate can ensure accurate exposure of one or more patterns on the substrate.

[0064] In some embodiments, alignment apparatus 400 can include an illumination system 412, a beam splitter 414, an interferometer 426, a detector 428, a beam analyzer 430, and an overlay calculation processor 432. Illumination system 412 can be configured to provide an electromagnetic narrow band radiation beam 413 having one or more passbands. In an example, the one or more passbands can be within a spectrum of wavelengths between about 500 nm to about 900 nm. In another example, the one or more passbands can be discrete narrow passbands within a spectrum of wavelengths between about 500 nm to about 900 nm. Illumination system 412 can be further configured to provide one or more passbands having substantially constant center wavelength (CWL) values over a long period of time (e.g., over a lifetime of illumination system 412). Such configuration of illumination system 412 can help to prevent the shift of the actual CWL values from the desired CWL values, as discussed above, in current alignment systems. And, as a result, the use of constant CWL values can improve long-term stability and accuracy of alignment systems (e.g., alignment apparatus 400) compared to the current alignment apparatuses.

[0065] In some embodiments, beam splitter 414 can be configured to receive radiation beam 413 and split radiation beam 413 into at least two radiation sub-beams. For example, radiation beam 413 can be split into radiation sub-beams 415 and 417, as shown in FIG. 4A. Beam splitter 414 can be further configured to direct radiation sub-beam 415 onto a substrate 420 placed on a stage 422. In one example, the stage 422 is movable along direction 424. Radiation sub-beam 415 can be configured to illuminate an alignment mark or a target 418 located on substrate 420. Alignment mark or target 418 can be coated with a radiation sensitive film. In some embodiments, alignment mark or target 418 can have one hundred and eighty degrees (i.e., 180°) symmetry. That is, when alignment mark or target 418 is rotated 180° about an axis of symmetry perpendicular to a plane of alignment mark or target 418, rotated alignment mark or target 418 can be substantially identical to an unrotated alignment mark or target 418. The target 418 on substrate 420 can be (a) a resist layer grating comprising bars that are formed of solid resist lines, or (b) a product layer grating, or (c) a composite grating stack in an overlay target structure comprising a resist grating overlaid or interleaved on a product layer grating. The bars can alternatively be etched into the substrate. This pattern is sensitive to chromatic aberrations in the lithographic projection apparatus, particularly the projection system PL, and illumination symmetry and the presence of such aberrations will manifest themselves in a variation in the printed grating. One in-line method used in device manufacturing for measurements of line width, pitch, and critical dimension makes use of a technique known as “scatterometry”. Methods of scatterometry are described in Raymond et ak,“Multiparameter Grating Metrology Using Optical Scatterometry”, J. Vac. Sci. Tech. B, Vol. 15, no. 2, pp. 361- 368 (1997) and Niu et ak,“Specular Spectroscopic Scatterometry in DUV Lithography”, SPIE, Vol. 3677 (1999), which are both incorporated by reference herein in their entireties. In scatterometry, light is reflected by periodic structures in the target, and the resulting reflection spectrum at a given angle is detected. The structure giving rise to the reflection spectrum is reconstructed, e.g., using Rigorous Coupled-Wave Analysis (RCWA) or other Maxwell equation solvers, or by comparison to a library of patterns derived by simulation. Accordingly, the scatterometry data of the printed gratings is used to reconstruct the gratings. The parameters of the grating, such as line widths and shapes, can be input to the reconstruction process, performed by processing unit PU, from knowledge of the printing step and/or other scatterometry processes. [0066] In some embodiments, beam splitter 414 can be further configured to receive diffraction radiation beam 419 and split diffraction radiation beam 419 into at least two radiation sub-beams, according to an embodiment. Diffraction radiation beam 419 can be split into diffraction radiation sub-beams 429 and 439, as shown in FIG. 4A.

[0067] It should be noted that even though beam splitter 414 is shown to direct radiation sub-beam 415 towards alignment mark or target 418 and to direct diffracted radiation sub-beam 429 towards interferometer 426, the disclosure is not so limiting. It would be apparent to a person skilled in the relevant art that other optical arrangements can be used to obtain the similar result of illuminating alignment mark or target 418 on substrate 420 and detecting an image of alignment mark or target 418.

[0068] As illustrated in FIG. 4A, interferometer 426 can be configured to receive radiation sub-beam 417 and diffracted radiation sub-beam 429 through beam splitter 414. In an example embodiment, diffracted radiation sub-beam 429 can be at least a portion of radiation sub-beam 415 that can be reflected from alignment mark or target 418. In an example of this embodiment, interferometer 426 comprises any appropriate set of optical-elements, for example, a combination of prisms that can be configured to form two images of alignment mark or target 418 based on the received diffracted radiation sub-beam 429. It should be appreciated that a good quality image need not be formed, but that the features of alignment mark 418 should be resolved. Interferometer 426 can be further configured to rotate one of the two images with respect to the other of the two images 180° and recombine the rotated and unrotated images interferometrically.

[0069] In some embodiments, detector 428 can be configured to receive the recombined image via interferometer signal 427 and detect interference as a result of the recombined image when alignment axis 421 of alignment apparatus 400 passes through a center of symmetry (not shown) of alignment mark or target 418. Such interference can be due to alignment mark or target 418 being 180° symmetrical, and the recombined image interfering constructively or destructively, according to an example embodiment. Based on the detected interference, detector 428 can be further configured to determine a position of the center of symmetry of alignment mark or target 418 and consequently, detect a position of substrate 420. According to an example, alignment axis 421 can be aligned with an optical beam perpendicular to substrate 420 and passing through a center of image rotation interferometer 426. Detector 428 can be further configured to estimate the positions of alignment mark or target 418 by implementing sensor characteristics and interacting with wafer mark process variations. [0070] In a further embodiment, detector 428 determines the position of the center of symmetry of alignment mark or target 418 by performing one or more of the following measurements:

1. measuring position variations for various wavelengths (position shift between colors);

2. measuring position variations for various orders (position shift between diffraction orders); and

3. measuring position variations for various polarizations (position shift between polarizations).

This data can for example be obtained with any type of alignment sensor, for example a SMASH (SMart Alignment Sensor Hybrid) sensor, as described in U.S. Patent No. 6,961,116 that employs a self-referencing interferometer with a single detector and four different wavelengths, and extracts the alignment signal in software, or Athena (Advanced Technology using High order ENhancement of Alignment), as described in U.S. Patent No. 6,297,876, which directs each of seven diffraction orders to a dedicated detector, which are both incorporated by reference herein in their entireties.

[0071] In some embodiments, beam analyzer 430 can be configured to receive and determine an optical state of diffracted radiation sub-beam 439. The optical state can be a measure of beam wavelength, polarization, or beam profile. Beam analyzer 430 can be further configured to determine a position of stage 422 and correlate the position of stage 422 with the position of the center of symmetry of alignment mark or target 418. As such, the position of alignment mark or target 418 and, consequently, the position of substrate 420 can be accurately known with reference to stage 422. Alternatively, beam analyzer 430 can be configured to determine a position of alignment apparatus 400 or any other reference element such that the center of symmetry of alignment mark or target 418 can be known with reference to alignment apparatus 400 or any other reference element. Beam analyzer 430 can be a point or an imaging polarimeter with some form of wavelength-band selectivity. According to an embodiment, beam analyzer 430 can be directly integrated into alignment apparatus 400, or connected via fiber optics of several types: polarization preserving single mode, multimode, or imaging, according to other embodiments.

[0072] In some embodiments, beam analyzer 430 can be further configured to determine the overlay data between two patterns on substrate 420. One of these patterns can be a reference pattern on a reference layer. The other pattern can be an exposed pattern on an exposed layer. The reference layer can be an etched layer already present on substrate 420. The reference layer can be generated by a reference pattern exposed on the substrate by lithographic apparatus 100 and/or 100’. The exposed layer can be a resist layer exposed adjacent to the reference layer. The exposed layer can be generated by an exposure pattern exposed on substrate 420 by lithographic apparatus 100 or 100’. The exposed pattern on substrate 420 can correspond to a movement of substrate 420 by stage 422. In some embodiments, the measured overlay data can also indicate an offset between the reference pattern and the exposure pattern. The measured overlay data can be used as calibration data to calibrate the exposure pattern exposed by lithographic apparatus 100 or 100’, such that after the calibration, the offset between the exposed layer and the reference layer can be minimized.

[0073] In some embodiments, beam analyzer 430 can be further configured to determine a model of the product stack profile of substrate 420, and can be configured to measure overlay, critical dimension, and focus of target 418 in a single measurement. The product stack profile contains information on the stacked product such as alignment mark, target 418, or substrate 420, and can include mark process variation-induced optical signature metrology that is a function of illumination variation. The product stack profile can also include product grating profile, mark stack profile, and mark asymmetry information. An example of beam analyzer 430 is Yieldstar™, manufactured by ASML, Veldhoven, The Netherlands, as described in U.S. Patent No. 8,706,442, which is incorporated by reference herein in its entirety. Beam analyzer 430 can be further configured to process information related to a particular property of an exposed pattern in that layer. For example, beam analyzer 430 can process an overlay parameter (an indication of the positioning accuracy of the layer with respect to a previous layer on the substrate or the positioning accuracy of the first layer with respective to marks on the substrate), a focus parameter, and/or a critical dimension parameter (e.g., line width and its variations) of the depicted image in the layer. Other parameters are image parameters relating to the quality of the depicted image of the exposed pattern.

[0074] In some embodiments, an array of detectors (not shown) can be connected to beam analyzer 430, and allows the possibility of accurate stack profile detection as discussed below. For example, detector 428 can be an array of detectors. For the detector array, a number of options are possible: a bundle of multimode fibers, discrete pin detectors per channel, or CCD or CMOS (linear) arrays. The use of a bundle of multimode fibers enables any dissipating elements to be remotely located for stability reasons. Discrete PIN detectors offer a large dynamic range but each need separate pre-amps. The number of elements is therefore limited. CCD linear arrays offer many elements that can be read-out at high speed and are especially of interest if phase-stepping detection is used. [0075] In some embodiments, a second beam analyzer 430’ can be configured to receive and determine an optical state of diffracted radiation sub-beam 429, as shown in Fig. 4B. The optical state can be a measure of beam wavelength, polarization, or beam profile. Second beam analyzer 430’ can be identical to beam analyzer 430. Alternatively, second beam analyzer 430’ can be configured to perform at least all the functions of beam analyzer 430, such as determining a position of stage 422 and correlating the position of stage 422 with the position of the center of symmetry of alignment mark or target 418. As such, the position of alignment mark or target 418 and, consequently, the position of substrate 420, can be accurately known with reference to stage 422. Second beam analyzer 430’ can also be configured to determine a position of alignment apparatus 400, or any other reference element, such that the center of symmetry of alignment mark or target 418 can be known with reference to alignment apparatus 400, or any other reference element. Second beam analyzer 430’ can be further configured to determine the overlay data between two patterns and a model of the product stack profile of substrate 420. Second beam analyzer 430’ can also be configured to measure overlay, critical dimension, and focus of target 418 in a single measurement.

[0076] In some embodiments, second beam analyzer 430’ can be directly integrated into alignment apparatus 400, or it can be connected via fiber optics of several types: polarization preserving single mode, multimode, or imaging, according to other embodiments. Alternatively, second beam analyzer 430’ and beam analyzer 430 can be combined to form a single analyzer (not shown) configured to receive and determine the optical states of both diffracted radiation sub-beams 429 and 439.

[0077] In some embodiments, processor 432 receives information from detector 428 and beam analyzer 430. For example, processor 432 can be an overlay calculation processor. The information can comprise a model of the product stack profile constructed by beam analyzer 430. Alternatively, processor 432 can construct a model of the product mark profile using the received information about the product mark. In either case, processor 432 constructs a model of the stacked product and overlay mark profile using or incorporating a model of the product mark profile. The stack model is then used to determine the overlay offset and minimizes the spectral effect on the overlay offset measurement. Processor 432 can create a basic correction algorithm based on the information received from detector 428 and beam analyzer 430, including but not limited to the optical state of the illumination beam, the alignment signals, associated position estimates, and the optical state in the pupil, image, and additional planes. The pupil plane is the plane in which the radial position of radiation defines the angle of incidence and the angular position defines the azimuth angle of the radiation. Processor 432 can utilize the basic correction algorithm to characterize the alignment apparatus 400 with reference to wafer marks and/or alignment marks 418.

[0078] In some embodiments, processor 432 can be further configured to determine printed pattern position offset error with respect to the sensor estimate for each mark based on the information received from detector 428 and beam analyzer 430. The information includes but is not limited to the product stack profile, measurements of overlay, critical dimension, and focus of each alignment marks or target 418 on substrate 420. Processor 432 can utilize a clustering algorithm to group the marks into sets of similar constant offset error, and create an alignment error offset correction table based on the information. The clustering algorithm can be based on overlay measurement, the position estimates, and additional optical stack process information associated with each set of offset errors. The overlay is calculated for a number of different marks, for example, overlay targets having a positive and a negative bias around a programmed overlay offset. The target that measures the smallest overlay is taken as reference (as it is measured with the best accuracy). From this measured small overlay, and the known programmed overlay of its corresponding target, the overlay error can be deduced. Table 1 illustrates how this can be performed. The smallest measured overlay in the example shown is -1 nm. However this is in relation to a target with a programmed overlay of -30 nm. Consequently the process must have introduced an overlay error of 29 nm.

The smallest value can be taken to be the reference point and, relative to this, the offset can be calculated between measured overlay and that expected due to the programmed overlay. This offset determines the overlay error for each mark or the sets of marks with similar offsets. Therefore, in the Table 1 example, the smallest measured overlay was -1 nm, at the target position with programmed overlay of 30 nm. The difference between the expected and measured overlay at the other targets is compared to this reference. A table such as Table 1 can also be obtained from marks and target 418 under different illumination settings, the illumination setting, which results in the smallest overlay error, and its corresponding calibration factor, can be determined and selected. Following this, processor 432 can group marks into sets of similar overlay error. The criteria for grouping marks can be adjusted based on different process controls, for example, different error tolerances for different processes.

[0079] In some embodiments, processor 432 can confirm that all or most members of the group have similar offset errors, and apply an individual offset correction from the clustering algorithm to each mark, based on its additional optical stack metrology. Processor 432 can determine corrections for each mark and feed the corrections back to lithographic apparatus 100 or 100’ for correcting errors in the overlay, for example, by feeding corrections into the alignment apparatus 400.

[0080] Increasing Alignment System Accuracy

[0081] As indicated earlier, lithographic processes for device fabrication can demand a high degree of precision (e.g., small tolerances). Fabrication processes are often subject to random and/or systematic variations that could impact the precision with which features are positioned on a substrate. A metrology system measuring these feature positions is similarly affected by random and systematic variations and aberrations within the metrology system, for example, temperature variations, vacuum pressure variations, and/or sensor drift, among other effectors. To reduce the extent of errors in a lithographic process, an alignment system is used to measure parameters of the lithographic process, such as, for example, overlay error or critical dimension. An alignment system is a type of metrology system that, in essence, measures positions of features on a substrate and uses the measurement results to inform a subsequent fabrication step. In some embodiments, a metrology system measures radiation diffracted by a grating(s) and the diffracted radiation is used to determine a position of the grating(s). Typical gratings use a constant pitch, which is usually satisfactory for determining an accurate position of the grating under near-ideal circumstances (e.g., no variations in fabrication processes or metrology system). However, uncertainties in fabrication and measurement are inherent in any manufacturing process. Therefore, embodiments of the disclosure herein provide devices and methods that can mitigate or minimize the impacts of said uncertainties, particularly with the use of a grating structure having a non-constant pitch.

[0082] FIG. 5 shows a grating structure 500, according to some embodiments. In some embodiments, grating structure 500 comprises parallel traces 502. It is to be appreciated that the number of traces shown is non-limiting. The material of grating structure 500 can be any of those discussed above with respect to gratings (e.g., resist grating). Parallel traces 502 are arranged such that grating structure 500 comprises a non-constant pitch. A pitch can be measured as the distance between trace centers. It is to be appreciated that a pitch can also be defined with respect to other recurring markers in lieu of trace centers.

[0083] The spacing and width of parallel traces 502 shown in FIG. 5 are not to exact scale and are intended only for illustrating certain non-constant qualities of the pitch. For example, in some embodiments, the non-constant pitch comprises a modulation having a narrow-wide-narrow arrangement, as depicted in FIG. 5. In some other embodiments, the non constant pitch comprises a modulation having a wide-narrow-wide arrangement. In some embodiments, the non-constant pitch further comprises one or more repetitions of a modulation (e.g., narrow-wide-narrow-wide-narrow arrangement). In some embodiments, the modulation is substantially asymmetric. Pitch symmetry will be discussed further below.

[0084] In some embodiments, the non-constant pitch may follow a sinusoidal pattern, a sawtooth pattern, or triangle pattern. While the non-constant pitch shown in FIG. 5 appears to be symmetric about the center (widest trace), it is to be appreciated that the non-constant pitch can be substantially asymmetric. In this scenario, a repeating modulation of an asymmetric pattern can produce a pattern having a duty cycle different from 50%.

[0085] In a metrology process (e.g., an optical measurement), grating structure 500 is scanned along a direction of the non-constant pitch. Dashed circles denote positions 504, 506, 508, 510, and 512, which are exemplary positions of radiation from a metrology system as it scans across grating structure 500 along a scanning direction 514. It is to be appreciated that, although positions 504, 506, 508, 510, and 512 are shown discretized, a scan can include finer step increments, resulting in possibly tens, hundreds, thousands, or more measurements in a single scan of grating structure 500. It is also to be appreciated that scanning in a direction opposite to scanning direction 514 is also acceptable, as both directions are along the direction of the non-constant pitch.

[0086] Though FIG. 5 shows grating structure 500 as comprising parallel traces 502, this is merely for simplicity as 2D grating structures can also be used. Therefore, in some embodiments, grating structure 500 comprises a 2D grating structure having a first non constant pitch in a first direction and a second non-constant pitch in a second direction perpendicular to the first direction. The first and second non-constant pitches may be similar or dissimilar. This allows a metrology system to scan the grating structure in a direction that combines the first and second directions (e.g., diagonal) in order to determine a parameter of a lithographic process with respect to the first and second directions. A skilled artisan will appreciate that the disclosures referencing FIGS. 5-7 can be applied to a 2D grating. [0087] In some embodiments, a metrology system or method uses phase differences of two or more diffraction orders scattered from a grating, traditionally one of constant pitch, to determine a position of a feature (e.g., a location of an alignment mark). However, as mentioned earlier, this method is susceptible to undesirable process variations during the fabrication of the grating or aberrations and instabilities within the metrology system. Embodiments of the present disclosure provide a way to eliminate or minimize the effects of process variations and aberrations within a metrology system.

[0088] A light spot in the pupil plane of a metrology system can be formed by a radiation scattered by a grating (e.g., a diffraction order). The properties of this light spot are functions of the grating pitch, stack materials, and stack thickness. When an alignment mark (e.g., a grating structure) with a known pitch variation is scanned, the alignment position deviation (APD) can be determined from the displacement of the spot position in the pupil plane as a function of time.

[0089] FIG. 6 shows a diagram of a pupil plane 600 of a metrology system, according to some embodiments. When the metrology system scatters a beam of radiation from a grating, diffracted radiation can return to pupil plane 600 as a spot. In some embodiments, said spot starts at position 602. Other positions of interest are positions 604 and 606. In some embodiments, as a metrology system scans a grating structure having a non-constant pitch (e.g., as in embodiments based on FIG. 5), a position of a spot in pupil plane 600 (the spot corresponds to a diffraction order scattered by the grating structure) is displaced through positions 602, 604, and 606 within pupil plane 600. Starting from position 602 (e.g., when the metrology system focuses radiation on position 504 in FIG. 5), the beam spot is displaced in a direction 608 toward position 604 as the metrology system interacts with a changing pitch during the scan (e.g., as the metrology system scans toward position 506 in FIG. 5). When the metrology system reaches where the non-constant pitch has maximum width (e.g., position 508 in FIG. 5), the spot has moved in direction 610 toward position 606. As the metrology system continues to scan and moves away from the widest pitch (e.g., toward position 510 in FIG. 5), the spot reverses its displacement and moves in direction 612 toward position 604. Finally, the spot moves back to position 602 along direction 614. These sequence of events occur along axis 616. Axis 616 is arbitrarily labeled as an x-axis for ease of discussion only. The orientation, position, and label of axis 616 are not limiting. It is to be appreciated that the sequence of beam spot motion described in reference to FIG. 6 may occur in directions opposite as portrayed. For example, the directions will be reversed if a reversed modulation is considered (e.g., wide- narrow-wide arrangement). Another example where the directions can be reversed is if an opposite diffraction order is considered (e.g., -1 st diffraction order as opposed to + 1 st diffraction order). In some embodiments, other spot properties may also be used for determining a position of the grating structure, for example, polarization, central wavelength shift, and additional diffraction orders, among others.

[0090] When a grating structure with a known pitch variation is scanned, the APD information of the substrate can be determined

[0091] FIG. 7 shows a graph 700 of spot position in a pupil plane versus time, according to some embodiments. The vertical axis represents a spot position 702 of a diffraction order that forms the spot in a pupil plane after scattering from a grating structure. The horizontal axis represents time of scan 704. In the context of FIG. 7, the“spot position” refers to a position of a spot in a pupil plane (the spot corresponding to a diffraction order scattered by a grating structure). The data displayed in graph 700 is intended to provide a non-limiting example of the spot motion described in FIG. 6 and the scan procedure described for FIG. 5. In some embodiments, during a scan of a grating structure (e.g., grating structure 500), a position the spot in the pupil plane can move through a position 706, a position 708, a position 710, a position 712, and a position 714, through a course of a scan. The spot motion follows a plot line 716. Though scan progression is represented here with respect to time, those skilled in the art will appreciate that other reference frames can be considered. For example, the horizontal axis can be chosen to represent relative coordinates on a grating structure instead of time of scan 704. The maximum represented by position 710 occurs when the pitch of the scanned structure is a local maximum or minimum. For example, scanning through position 508 in FIG. 5 (the widest pitch) can produce the maximum at position 710 in graph 7.

[0092] FIG. 8 shows a graph 800 of spot position in a pupil plane versus time, according to some embodiments. The vertical axis represents a spot position 802 of a diffraction order that forms the spot in a pupil plane after scattering from a grating structure. The horizontal axis represents time of scan 804. In some embodiments, a scan of a grating structure can give different results. The differences in the scan results can be due to fabrication process variations or metrology system aberration. Graph 800 shows examples of different scan results, which are represented by plot line 806, plot line 808, and plot line 810. The timing of the plot lines are arranged such that their local maxima or minima are vertically aligned along line 812. Let plot line 806 represent a theoretical scan result under ideal conditions, that is, in the absence of variations in fabrication process and the metrology system. Now, fabrication process variations can introduce mark asymmetry and non-uniform stack thickness across a substrate. This causes the spot in the pupil plane to shift. The net effect is that a scan result (e.g., plot line 806) can experience a shift and other irregularities depending on the severity of fabrication process variations and metrology system aberrations. In some embodiments, plot line 808 represents a theoretical scan result that is based on scanning the same grating structure that produced plot line 806, but now introducing fabrication process variations and/or metrology system aberrations. Plot line 808 is shifted overall from plot line 806. Plot line 808 also displays a tighter concavity (e.g., a larger magnitude of the second derivative). Such a change of the concavity can be due to, for example, a magnification error introduced by a fabrication process. It was mentioned earlier that a direction of the spot motion could be reversed under some circumstances. To illustrate this, in some embodiments, plot line 810 represents a theoretical scan result using a diffraction order that is opposite to the diffraction order used for acquiring plot line 806 (e.g., -1 st diffraction order as opposed to +l st diffraction order). In other embodiments, plot line 810 represents a theoretical scan result of a grating structure that has an opposite pitch arrangement than the grating structure that produces plot line 806 (e.g., narrow-wide-narrow as opposed to wide-narrow- wide).

[0093] The distinguishable movement of the light spot in the pupil plane allows the metrology system to discriminate hundreds to thousands of resolvable steps of the movement using commercially available optics and cameras. A detector in the metrology system may be any one of these optics and cameras that can discern the movement of the light spot in the pupil plane. With the assumption that a fabrication process variation is negligible over the scale of the mark’s dimensions (e.g., tens of micrometers), the relative positions of the local maxima or minima can be determined with high accuracy. The relied-on assumption implies an approach of cancelling out a systematic error, which the non-constant pitch method accomplishes. For example, the non-constant pitch method would be difficult to implement if a fabrication process could affect one edge of the grating structure differently from another end of that grating structure.

[0094] Metrology system aberrations can also cause the light spot to shift in the pupil plane. Therefore, both fabrication process variations and metrology system aberrations can cause scan results (e.g., plot line 808) to shift and/or deform. However, the local maxima and/or minima (or any other pitch features for that matter) designed into the non-constant pitch allow for accurate determination of exact positions by identifying turning points of a light spot in the pupil plane. While the embodiments of FIGS. 5-7 have all shown symmetric pitch geometries and scan results, symmetry is not required, so long as the pitch design is known prior to the scan. For example, the non-constant pitch may be modulated as a sine wave, which in turn would produce sinusoidal scan results. In this scenario, the number of peaks and valleys can also be used to increase the accuracy of positioning.

[0095] Other aspects of the invention are set out as in the following numbered clauses.

1. A metrology system comprising:

a radiation source configured to generate radiation;

an optical element configured to direct the radiation toward a grating structure comprising a non-constant pitch; and

a detector configured to receive radiation scattered by the grating structure and generate a measurement based on the received radiation,

wherein the metrology system is configured to:

generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and

determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

2. The metrology system of clause 1, wherein the non-constant pitch comprises a modulation having a wide-narrow- wide or narrow-wide-narrow arrangement.

3. The metrology system of clause 2, wherein the modulation is substantially asymmetric.

4. The metrology system of clause 2, wherein:

the set of locations is distributed substantially uniformly across the modulation;

the set of measurements is generated by scanning the metrology system across the wide- narrow-wide or narrow-wide-narrow arrangement; and

a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes a first displacement and a second displacement having a direction opposite to the first displacement during the scanning.

5. The metrology system of clause 2, wherein the non-constant pitch further comprises one or more repetitions of the modulation.

6. The metrology system of clause 5, wherein:

the set of measurements is generated by scanning the metrology system across the grating structure over the modulation and the one or more repetitions of the modulation; and a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes, during the scanning, a first displacement, a second displacement having a direction opposite to the first displacement, and a third displacement having a direction similar to the first displacement. 7. The metrology system of clause 1, wherein the parameter of the lithographic process comprises overlay error, structure size, line thickness, critical dimension, layer composition, layer thickness, material uniformity, layer uniformity, damage, and/or contamination·

8. A lithographic apparatus comprising:

an illumination system configured to illuminate a pattern of a patterning device;

a projection system configured to project an image of the pattern onto a substrate; and a metrology system comprising:

a radiation source configured to generate radiation;

an optical element configured to direct the radiation toward a grating structure comprising a non-constant pitch; and

a detector configured to receive radiation scattered by the grating structure and generate a measurement based on the received radiation,

wherein the metrology system is configured to:

generate a set of measurements corresponding to a set of locations on the grating structure along a direction of the non-constant pitch and determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements.

9. The lithographic apparatus of clause 8, wherein the non-constant pitch comprises a modulation having a wide-narrow- wide or narrow-wide-narrow arrangement.

10. The lithographic apparatus of clause 9, wherein the modulation is substantially asymmetric.

11. The lithographic apparatus of clause 9, wherein:

the set of locations is distributed substantially uniformly across the modulation;

the set of measurements is generate by scanning the metrology system across the wide- narrow-wide or narrow-wide-narrow arrangement; and

a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes a first displacement and a second displacement having a direction opposite to the first displacement during the scanning.

12. The lithographic apparatus of clause 9, wherein the non-constant pitch further comprises one or more repetitions of the modulation.

13. The lithographic apparatus of clause 12, wherein:

the set of measurements is generate by scanning the metrology system across the grating structure over the modulation and the one or more repetitions of the modulation; and a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes, during the scanning, a first displacement, a second displacement having a direction opposite to the first displacement, and a third displacement having a direction similar to the first displacement.

14. The lithographic apparatus of clause 8, wherein the parameter of the lithographic process comprises overlay error, structure size, line thickness, critical dimension, layer composition, layer thickness, material uniformity, layer uniformity, damage, and/or contamination·

15. A method of measuring a parameter of a lithographic process or calibrating a metrology system, the method comprising:

directing radiation toward a grating structure comprising a non-constant pitch;

receiving radiation scattered by the grating structure using a detector;

generating a set of measurements corresponding to a set of locations on the grating structure along the direction of the non-constant pitch; and

determining, based on the set of measurements, the parameter of the lithographic process or a correction for the metrology system.

16. The method of clause 15, wherein the non-constant pitch comprises a modulation having a wide-narrow-wide or narrow-wide-narrow arrangement.

17. The method of clause 16, wherein the modulation is substantially asymmetric.

18. The method of clause 16, wherein:

the set of locations is distributed substantially uniformly across the modulation;

the generating comprises scanning the metrology system across the wide-narrow-wide or narrow- wide-narrow arrangement; and

a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes a first displacement and a second displacement having a direction opposite to the first displacement during the scanning.

19. The method of clause 16, wherein the non-constant pitch further comprises one or more repetitions of the modulation.

20. The method of clause 19, wherein:

the generating comprises scanning the metrology system across the grating structure over the modulation and the one or more repetitions of the modulation; and

a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes, during the scanning, a first displacement, a second displacement having a direction opposite to the first displacement, and a third displacement having a direction similar to the first displacement.

21. The method of clause 15, wherein the parameter of the lithographic process comprises overlay error, structure size, line thickness, critical dimension, layer composition, layer thickness, material uniformity, layer uniformity, damage, and/or contamination·

[0096] Moreover, embodiments of the present disclosure can be used to scan a calibration structure (e.g., a calibration plate having a grating with non-constant pitch).

[0097] Embodiments of the present disclosure can be described in an alternative fashion. In some embodiments, a metrology system is configured to generate a set of measurements corresponding to a set of locations on a grating structure having a non-constant pitch and determine a parameter of a lithographic process or a correction for the metrology system based on the set of measurements. The set of locations are disposed along the non constant pitch (e.g., perpendicular to the grating traces). The set of locations is distributed substantially uniformly across the modulation. In some embodiments, a spot in a pupil plane corresponding to a diffraction order of the radiation scattered by the grating structure undergoes a first displacement and a second displacement having a direction opposite to the first displacement during the scanning. In the scenario where the modulation is repeated, the spot in the pupil plane undergoes, during the scanning, a first displacement, a second displacement having a direction opposite to the first displacement, and a third displacement having a direction similar to the first displacement.

[0098] In some embodiments, a parameter of a lithographic process comprises overlay error, structure size, line thickness, critical dimension, layer composition, layer thickness, material uniformity, layer uniformity, damage, and/or contamination·

[0099] Although specific reference can be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, LCDs, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms“wafer” or“die” herein can be considered as synonymous with the more general terms“substrate” or“target portion”, respectively. The substrate referred to herein can be processed, before or after exposure, in for example a track unit (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology unit and/or an inspection unit. Where applicable, the disclosure herein can be applied to such and other substrate processing tools. Further, the substrate can be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

[0100] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention can be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device can be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.

[0101] It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present disclosure is to be interpreted by those skilled in relevant art(s) in light of the teachings herein.

[0102] The term“substrate” as used herein describes a material onto which material layers are added. In some embodiments, the substrate itself can be patterned and materials added on top of it may also be patterned, or may remain without patterning.

[0103] Although specific reference can be made in this text to the use of the apparatus and/or system according to the invention in the manufacture of ICs, it should be explicitly understood that such an apparatus and/or system has many other possible applications. For example, it can be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, LCD panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms“reticle,”“wafer,” or“die” in this text should be considered as being replaced by the more general terms“mask,”“substrate,” and“target portion,” respectively.

[0104] While specific embodiments of the invention have been described above, it will be appreciated that the invention can be practiced otherwise than as described. The description is not intended to limit the invention.

[0105] It is to be appreciated that the Detailed Description section, and not the

Summary and Abstract sections, is intended to be used to interpret the claims. The Summary and Abstract sections may set forth one or more but not all exemplary embodiments of the present invention as contemplated by the inventor(s), and thus, are not intended to limit the present invention and the appended claims in any way. [0106] The present invention has been described above with the aid of functional building blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed.

[0107] The foregoing description of the specific embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present invention. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein.

[0108] The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.