Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
NANOSHEET TRANSISTORS WITH DIFFERENT GATE DIELECTRICS AND WORKFUNCTION METALS
Document Type and Number:
WIPO Patent Application WO/2019/077485
Kind Code:
A1
Abstract:
Semiconductor devices and methods for making the same include patterning a stack of layers that includes channel layers, first sacrificial layers between the channel layers, and second sacrificial layers between the channel layers and the first sacrificial layers, to form one or more device regions. The first sacrificial layers are formed from a material that has a same lattice constant as a material of the first sacrificial layers and the second sacrificial layers are formed from a material that has a lattice mismatch with the material of the first sacrificial layers. Source and drain regions are formed from sidewalls of the channel layers in the one or more device regions. The first and second sacrificial layers are etched away to leave the channel layers suspended from the source and drain regions. A gate stack is deposited on the channel layers.

Inventors:
CHENG KANGGUO (US)
LI JUNTAO (US)
LEE CHOONGHYUN (US)
XU PENG (US)
Application Number:
PCT/IB2018/058004
Publication Date:
April 25, 2019
Filing Date:
October 16, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
IBM (US)
IBM UK (GB)
IBM CHINA INVESTMENT CO LTD (CN)
International Classes:
H01L21/336; H01L27/092
Foreign References:
US20140361378A12014-12-11
TW200629418A2006-08-16
US20140273377A12014-09-18
CN101075562A2007-11-21
Attorney, Agent or Firm:
FOURNIER, Kevin (GB)
Download PDF:
Claims:
CLAIMS

1. A method for forming a semiconductor device, comprising:

patterning a stack of layers that includes channel layers, first sacrificial layers between the channel layers, and second sacrificial layers between the channel layers and the first sacrificial layers, to form one or more device regions, wherein the first sacrificial layers are formed from a material that has a similar lattice constant as a material of the channel layers and wherein the second sacrificial layers are formed from a material that has a lattice mismatch with the material of the channel layers;

forming source and drain regions from sidewalls of the channel layers in the one or more device regions; etching away the first and second sacrificial layers to leave the channel layers suspended from the source and drain regions; and

depositing a gate stack on the channel layers.

2. The method of claim 1 , wherein the channel layers are formed from crystalline silicon, the first sacrificial layers are formed from doped silicon, and the second sacrificial layers comprise silicon germanium.

3. The method of claim 2, wherein the second sacrificial layers are formed from carbon-doped silicon germanium.

4. The method of claim 1 , wherein the first and second sacrificial layers are each etched away in a respective etch, both of which selectively remove a respective sacrificial material without damaging the channel layers.

5. The method of claim 1 , further comprising forming the stack of layers by epitaxially growing the channel layers, the first sacrificial layers, and the second sacrificial layers in a repeating ABAC pattern, where A layers represent the second sacrificial layers, B layers represent the first sacrificial layers, and C layers represent the channel layers.

6. The method of claim 1 , wherein a thickness of the second sacrificial layers is less than a thickness that would cause dislocations between the second sacrificial layers and the channel layers.

7. The method of claim 1 , wherein the second sacrificial layers comprise silicon germanium and wherein the thickness of the second sacrificial layers is less than about 8nm.

8. The method of claim 1 , wherein the device regions comprise an n-type device region and a p-type device region and wherein forming the gate stack in at least one of the n-type region and the p-type region comprises forming a dual layer of workfunction metals.

9. The method of claim 1 , wherein depositing the gate stack comprises forming an oxide dielectric layer on the suspended channel layers of at least one device region.

10. The method of claim 9, wherein the oxide dielectric layer has a thickness between about 2nm and about 5nm.

11. A semiconductor device, comprising:

a plurality of vertically stacked channel layers;

a first dielectric layer formed on the plurality of vertically stacked channel layers;

a second dielectric layer formed on the first dielectric layer;

a first conductor layer formed on the second dielectric layer; and

a second conductor layer formed on the first conductor layer, wherein the first dielectric layer, the second dielectric layer, the first conductor layer, and the second conductor layer are all present between vertically adjacent channel layers.

12. The semiconductor device of claim 11 , wherein the first dielectric layer is an oxide layer and the second dielectric layer is a high-k dielectric layer.

13. The semiconductor device of claim 12, wherein the oxide layer has a thickness between about 2nm and about 5nm.

14. The semiconductor device of claim 11 , wherein the first conductor layer is formed from a first workfunction metal and the second conductor layer is formed from a second workfunction metal.

Description:
NANOSHEET TRANSISTORS WITH DIFFERENT GATE DIELECTRICS AND WORKFUNCTION METALS

BACKGROUND

Technical Field

[0001] The present invention generally relates to semiconductor device fabrication and, more particularly, to field nanowire and nanosheet field effect transistors that have a spacing between channel layers that is greater than a critical thickness of a sacrificial material.

Description of the Related Art

[0002] Nanowire and nanosheet transistor devices may be created using silicon germanium as a sacrificial layer formed between layers of silicon. However, due to the lattice mismatch between silicon germanium crystals and silicon crystals, a strain is formed in the sacrificial silicon germanium layers. When the silicon germanium layers are formed to a thickness that is greater than a critical thickness, defects such as dislocations are formed in the stack.

[0003] For example, in silicon germanium having a germanium concentration of about 35 %, the critical thickness is about 8nm. Because the spacing between adjacent silicon channel layers is determined by the silicon germanium thickness, the small critical thickness limits the silicon channel spacing. This can make it difficult to pattern gate workfunction metals and form thick gate oxide transistors. The thick oxide gate dielectric pinches off the small gap between silicon channels, leaving no room for the gate workfunction metal. Even with thinner gate dielectrics, it is often advantageous to have different workfunction metals for different kinds of transistor. Removing the workfunction metal from the narrow gap between channels can necessitate an aggressive etch which can create an undesirable undercut between adjacent transistors.

SUMMARY

[0004] A method for making the same include patterning a stack of layers that includes channel layers, first sacrificial layers between the channel layers, and second sacrificial layers between the channel layers and the first sacrificial layers, to form one or more device regions. The first sacrificial layers are formed from a material that has a same lattice constant as a material of the first sacrificial layers and the second sacrificial layers are formed from a material that has a lattice mismatch with the material of the first sacrificial layers. Source and drain regions are formed from sidewalls of the channel layers in the one or more device regions. The first and second sacrificial layers are etched away to leave the channel layers suspended from the source and drain regions. A gate stack is deposited on the channel layers. [0005] A method for forming a semiconductor device includes patterning a stack of layers that includes silicon channel layers, sacrificial doped silicon layers between the channel layers, and sacrificial silicon germanium layers between the silicon channel layers and the sacrificial doped silicon layers, to form one or more device regions. The channel layers have a vertical separation of greater than about 8nm. Source and drain regions are formed from sidewalls of the channel layers in the one or more device regions. The first and second sacrificial layers are etched away to leave the channel layers suspended from the source and drain regions. An oxide gate dielectric is formed on the channel layers, the oxide gate dielectric having a thickness between about 2nm and about 5nm. A gate conductor is deposited on the oxide gate dielectric.

[0006] These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

[0007] The following description will provide details of preferred embodiments with reference to the following figures wherein:

[0008] FIG. 1 is a cross-sectional diagram of a step in the formation of field effect transistors (FETs) having a large separation between vertically stacked channel layers in accordance with an embodiment of the present invention;

[0009] FIG. 2 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the patterning of device regions in accordance with an embodiment of the present invention;

[0010] FIG. 3 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the formation of inner spacers in accordance with an embodiment of the present invention;

[0011] FIG. 4 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the formation of source and drain regions in accordance with an embodiment of the present invention;

[0012] FIG. 5 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the formation of an inter-layer dielectric in accordance with an embodiment of the present invention;

[0013] FIG. 6 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the removal of a dummy gate structure in accordance with an embodiment of the present invention; [0014] FIG. 7 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the removal of sacrificial layers in accordance with an embodiment of the present invention;

[0015] FIG. 8 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the formation of a gate stack in accordance with an embodiment of the present invention;

[0016] FIG. 9 is a cross-sectional diagram of a step in the formation of FETs having a large separation between vertically stacked channel layers showing the formation of a gate stack in accordance with an embodiment of the present invention; and

[0017] FIG. 10 is a block/flow diagram of a method of forming FETs having a large separation between vertically stacked channel layers in accordance with an embodiment of the present invention.

DETAILED DESCRIPTION

[0018] Embodiments of the present invention use multiple sacrificial layers, each having etch selectivity with the channel material, to form sacrificial layers at any appropriate thickness. This provides the present embodiments with the ability to form thick oxide gate dielectrics and to pattern workfunction metals as needed.

[0019] In one exemplary embodiment, a sacrificial layer is formed from a multilayer of, e.g., a first layer of silicon germanium, a middle layer of doped silicon, and a second layer of silicon germanium. The silicon germanium layers prevent dopants from the doped silicon layer from leeching out into the adjacent channel layers. Each constituent layer of the sacrificial multilayer can be selectively etched with respect to the channel material.

[0020] Referring now to FIG. 1, a cross-sectional diagram of a step in the formation of nanosheet field effect transistors (FETs) is shown. A stack of semiconductor layers is formed on a semiconductor substrate 102. The semiconductor substrate 102 may be a bulk-semiconductor substrate. In one example, the bulk-semiconductor substrate may be a silicon-containing material. Illustrative examples of silicon-containing materials suitable for the bulk-semiconductor substrate include, but are not limited to, silicon, silicon germanium, silicon germanium carbide, silicon carbide, epitaxial silicon, and multi-layers thereof. Although silicon is the predominantly used semiconductor material in wafer fabrication, alternative semiconductor materials can be employed, such as, but not limited to, germanium, gallium arsenide, indium gallium arsenide, indium phosphide, gallium nitride, cadmium telluride, and zinc selenide. Although not depicted in the present figures, the semiconductor substrate 102 may also be a semiconductor on insulator (SOI) substrate.

[0021] The stack of layers is formed from three different compositions. It is specifically contemplated that a first set of layers 104 can be formed from a semiconductor channel material. It is specifically contemplated that the first set of layers 104 may be formed from silicon, but it should be understood that other appropriate semiconductor materials having appropriate etch selectivities may be used instead.

[0022] A second set of layers 106 can be formed from a first sacrificial material between the first set of layers 104. It is specifically contemplated that the second set of layers 106 may be formed from silicon germanium or carbon- doped silicon germanium, with a germanium concentration of about 35% and a carbon concentration of about 1 %, but it should be understood that other concentrations and other appropriate sacrificial materials may be used instead. It should be noted that the lattice constant difference between silicon and silicon germanium with a 35% germanium concentration is greater than 1 %.

[0023] A third set of layers 108 can be formed from a second sacrificial material between the second set of layers 106. It is specifically contemplated that the third set of layers 108 may be formed from an phosphorus-doped or arsenic-doped silicon, but it should be understood that other appropriate sacrificial materials may be used instead and, in particular, that n-type dopants may be used. The layers of the stack are deposited sequentially, from the bottom up, with a pattern ABACABAC..., where A represents the second set of layers 106, B represents the third set of layers 108, and C represents the first set of layers 104.

[0024] The compositions of the second set of layers 106 and the third set of layers 108 are selected to provide etch selectivity relative to the first set of layers 104. As used herein, the term "selective" in reference to a material removal process denotes that the rate of material removal for a first material is greater than the rate of removal for at least another material of the structure to which the material removal process is being applied. Thus the sacrificial materials of the second set of layers 106 and the third set of layers 108 can be removed from between the layers of channel material of the first set of layers 104 without substantially damaging the first set of layers 104. The composition of the second set of layers 106 is specifically selected to prevent diffusion of dopants in the third set of layers 108 into the first set of layers 104. While silicon germanium is often sufficient for this purpose, carbon-doped silicon germanium is superior in reducing dopant diffusion, preventing dopants from the sacrificial layer 108 from diffusing into the channel layers 104.

[0025] By using the third set of layers 108 to increase the thickness of sacrificial material between adjacent channel layers of the first set of layers 104, the separation between channel layers can be increased to any size without exceeding the critical thickness in the second set of layers 106. The thickness of the third set of layers 108 can be made any appropriate thickness without introducing substantial strain due to substantial matching of lattice constant between 108 and 104, while the thickness of the second set of layers 106 is kept below the critical thickness of the sacrificial material making up the second set of layers 106. In an embodiment where carbon-doped silicon germanium is used in the second set of layers 106, the thickness of the second set of layers 106 may be about three nanometers, while the thicknesses of the first set of layers 104 and the third set of layers 108 can be any appropriate thickness. [0026] Each of the layers may be formed on top of the previous layer by epitaxial growth or any other appropriate deposition process. Epitaxial growth at this stage can be performed with relatively high quality, as each previous layer provides a good seed layer for the growth of the next layer. The terms "epitaxial growth" and "epitaxial deposition" refer to the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has substantially the same crystalline characteristics as the semiconductor material of the deposition surface. The term "epitaxial material" denotes a material that is formed using epitaxial growth. In some embodiments, when the chemical reactants are controlled and the system parameters set correctly, the depositing atoms arrive at the deposition surface with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Thus, in some examples, an epitaxial film deposited on a {100} crystal surface will take on a {100} orientation.

[0027] The third set of layers 108 may be formed by such an epitaxial process with in situ doping using an n-type dopant. It is specifically contemplated that n-type dopant atoms cause little change to the lattice constant of silicon, but any appropriate dopant may be used to achieve the effect of maintaining the lattice constant of the semiconductor material in the third set of layers 108. In some embodiments, the lattice constant difference between the third set of layers 108 and the channel layers 104 is less than about 0.3%. As used herein, "n-type" refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing layer, examples of n-type dopants include but are not limited to antimony, arsenic, and phosphorous.

[0028] Referring now to FIG. 2, a cross-sectional diagram of a step in the formation of nanosheet FETs is shown. A dummy gate stack is formed on the stack of layers. The dummy gate stack includes a dummy gate 204 and a spacer 206 on dummy gate sidewalls. The dummy gate 204 may include a dummy gate dielectric (e.g., silicon oxide) around the nanosheet stack, a dummy gate placeholder (e.g., amorphous silicon) around the dummy gate dielectric, and dummy gate hardmask (e.g., silicon nitride) on top of the dummy gate placeholder. The spacers 206 may comprises any suitable dielectric material, including but not limited to silicon nitride, silicon oxynitride, silicon oxide, silicoboron carbonitride, silicon oxycarbonitride, silicon oxycarbide, silicon carbonitride, or any suitable combination of those materials. The dummy gate 204 can be patterned by any suitable patterning techniques, including but not limited to lithography followed by directional etch (e.g., reactive ion etch (RIE)), sidewall imaging transfer, self-aligned double or quadruple patterning. The spacers 206 can be formed by deposition followed by RIE processing.

[0029] The dummy gate stack is used as a mask to anisotropically etch the stack of layers, forming sheet stacks 202. The sheet stacks 202 are formed in at least two regions, including a first region 208 and a second region 210. In some embodiments, the first region 208 is used to form a first FET (e.g., an n-type FET) with one type of workfunction metal while the second region 210 is used to form a second FET (e.g., p-type FET) with a second type of workfunction metal. In some embodiments, the first region 208 and the second region 210 may have the same type of FETs (e.g., both are n-type FETs or p-type FETs) with different workfunction metals. In other embodiments, the first region 208 and the second region 210 may have different types of gate dielectric, and in still other embodiments the first region 208 and the second region 210 may differ in both work function metal and in gate dielectric. The sheet stacks 202 may have any appropriate geometry, with the width of the sheet stacks 202 determining the eventual width of the FET channel regions.

[0030] In one embodiment, the sheet stacks 202 may be formed by a selective RIE using the dummy gate stack as a mask. RIE is a form of plasma etching in which during etching the surface to be etched is placed on a radio- frequency powered electrode. Moreover, during RIE the surface to be etched takes on a potential that accelerates the etching species extracted from plasma toward the surface, in which the chemical etching reaction is taking place in the direction normal to the surface. Other examples of anisotropic etching that can be used at this point of the present invention include ion beam etching, plasma etching or laser ablation.

[0031] It should be noted that, although the present embodiments are specifically described with respect to nanosheets, the same principles apply to the fabrication of nanowire devices. As used herein, the term "nanosheet" refers to a structure that has a ratio of its cross-sectional width to its cross-sectional height greater than about 2: 1 , whereas the term "nanowire" refers to a structure that has a ratio of its cross-sectional width to its cross-sectional height less than about 2: 1. The shape of the sheet stacks 202 determines whether a "nanosheet" structure or a "nanowire" structure is formed.

[0032] Referring now to FIG. 3, a cross-sectional view of a step in the fabrication of a nanosheet FET is shown. The second and third sets of layers 106 and 108 are recessed relative to the first layers 104 using one or more selective, isotropic etches. In a specific embodiment, the selective, isotropic etches preferentially removes silicon germanium material and doped silicon material, leaving layers of undoped silicon relatively untouched.

[0033] It is specifically contemplated that the etches of the second and third sets of layers 106 and 108 may be wet or dry chemical etches. The etch of the third set of layers 108 may include, e.g., an etch in a chlorine gas at a partial pressure of about 0.17 Torr in a temperature range between about 25°C and about 90°C. If an n-type doped silicon material is used in the third set of layers 108 and intrinsic silicon is used in the first set of layers 104 under these conditions, the third set of layers 108 will be etched at a rate about 90 times greater than an etching rate of the first set of layers 104. The etch of the second set of layers 106 may be performed using an appropriate wet etch such as, e.g., hydrogen peroxide with hydrofluoric acid, nitric acid with hydrofluoric acid, or ammonium hydroxide with hydrogen peroxide. It should be understood that other etch chemistries may be needed for the selective removal of the sacrificial material if the sacrificial materials are selected to be other than those described above.

[0034] Additional spacer material 302 is then formed at the recessed ends of the set and third sets of layers 106 and 108 in the sheet stacks 202. This additional spacer material 302 may be deposited using, e.g., a conformal deposition process and subsequently isotopically or anisotropically etched back to remove excess spacer material on the vertical sidewalls of the nanosheet stack structure and on the surface of the semiconductor substrate 102, with the additional spacer material 302 being protected by the dummy gate stack above it.

[0035] The additional spacer material 302 may be formed from the same or different material as the spacer layer 206 of the dummy gate stack (e.g., silicon nitride). For example, the embedded spacer material 302 can be formed of silicon nitride, silicoboron carbonitride, silicon carbonitride, silicon carbon oxynitride, or any other type of dielectric material (e.g., a dielectric material having a dielectric constant k of less than 5) appropriate to the role of forming an insulating gate sidewall spacers of FET devices.

[0036] In one embodiment, the dielectric material is conformally deposited using a highly conformal deposition process, such as atomic layer deposition (ALD), to ensure that the recesses area are sufficiently filled with dielectric material. Other deposition methods such as chemical vapor deposition (CVD) can be used to deposit a highly conformal layer of dielectric material to fill the recesses area. CVD is a deposition process in which a deposited species is formed as a result of chemical reaction between gaseous reactants at greater than room temperature (e.g., from about 25 °C about 900 °C). The solid product of the reaction is deposited on the surface on which a film, coating, or layer of the solid product is to be formed. Variations of CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), and Metal- Organic CVD (MOCVD) and combinations thereof may also be employed. In alternative embodiments that use ALD, chemical precursors react with the surface of a material one at a time to deposit a thin film on the surface.

[0037] Referring now to FIG. 4, a cross-sectional view of a step in the fabrication of a nanosheet FET is shown. Source and drain regions 402 are formed in contact with the ends of the layers of the first material 104. In one embodiment, the source and drain regions 402 may be epitaxi ally grown from these surfaces and in situ doped with a conductivity type appropriate to the type of device being fabricated.

[0038] As used herein, the term "conductivity type" denotes a dopant region being p-type or n-type. As used herein, "p-type" refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing substrate, examples of p-type dopants, i.e., impurities, include but are not limited to: boron, aluminum, gallium and indium. As used herein, "n-type" refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing substrate, examples of n-type dopants, i.e., impurities, include but are not limited to antimony, arsenic and phosphorous.

[0039] Referring now to FIG. 5, a cross-sectional view of a step in the fabrication of a nanosheet FET is shown. A dielectric material or a combination of dielectric materials is formed over and around the regions 208 and 210 and is then planarized down to the level of dummy gate 204 using, e.g., a chemical mechanical planarization (CMP) process to form inter-layer dielectric 502. In some embodiments, the inter-layer dielectric 502 includes silicon nitride and silicon oxide. [0040] Referring now to FIG. 6, a cross-sectional view of a step in the fabrication of a nanosheet FET is shown. The dummy gate 210 and the dummy gate hardmask 212 are removed by any appropriate etching process, exposing the underlying sheet stacks 202 and forming an opening 602.

[0041] Referring now to FIG. 7, a cross-sectional view of a step in the fabrication of a nanosheet FET is shown. The material of the third set of layers 108 and the second set of layers 106 selectively etched away in both the first and second region 208 and 210. This leaves openings 702 between the first set of layers 104. The same etches that were used to selectively recess the second and third sets of layers 106 and 108 may be used to remove the sacrificial material entirely.

[0042] From this point, several different kinds of devices can be formed. In particular, devices having different gate dielectrics, different work function metals, or both can be formed in the first region 208 and the second region 210. Some examples of these embodiments are described below.

[0043] Referring now to FIG. 8, a cross-sectional view of a step in the fabrication of FETs having different gate dielectrics is shown. By masking one region and then the other, a layer of thick oxide dielectric material 802 (having a thickness between about 2nm and about 5nm) is conformally deposited in the first region 208 and a thinner region of high-k dielectric material 804 is conformally deposited in the second region 210, over and around the channel layers. Because the channel layers 104 have a wide separation, it is possible to have significantly thicker dielectric layers, like the thick oxide dielectric layer 802, than would otherwise be possible.

[0044] The thick oxide dielectric material is patterned after deposition to remove it from the second region 210. It should be noted that the high-k dielectric material 804, which may be deposited much more thinly than the thick oxide dielectric layer 802, may be patterned to limit it to only the second region 210, but can in some embodiments be deposited over both regions 208 and 210 without removal of the high-k dielectric material from the region having the thick oxide material 802. A metal gate 806 is deposited in both regions. In some embodiments, the metal gate includes a workfunction metal. In some embodiments, the metal gate includes a workfunction metal overlying the high-k gate dielectric and a gate conductor filling the rest of the gate opening.

[0045] It is specifically contemplated that the layer of thick oxide dielectric material 802 may be formed from, e.g., silicon dioxide, while the layer of high-k dielectric material 804 may be formed from any appropriate dielectric material having a dielectric constant higher than that of silicon dioxide including, e.g., hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium silicon oxynitride, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. The high-k dielectric material may further include dopants such as lanthanum and aluminum. As an alternative to filling the remaining gap 702 entirely with workfunction metal 806, some embodiments may deposit only a layer of workfunction metal and fill the rest of gap 702 with tungsten or some other appropriate gate conductor.

[0046] It is specifically contemplated that an appropriate workfunction material will be selected in accordance with the type of device being fabricated. For example, a p-type work function metal may be used to create a p-type threshold voltage shift in a p-type FET, while an n-type work function metal may be used to create an n-type threshold voltage shift in an n-type device. Examples of p-type work function metals include titanium nitride, titanium aluminum nitride, ruthenium, platinum, molybdenum, cobalt, and combinations thereof. Examples of n-type work function metals include titanium aluminum alloys, tantalum nitride, titanium nitride (depending on deposition parameters), hafnium nitride, and hafnium silicon.

[0047] Referring now to FIG. 9, a cross-sectional view of a step in the fabrication of FETs having different workfunction metals is shown. In this embodiment, the first region 208 is used to create an n-type FET, while the second region 210 is used to create a p-type FET, each with different workfunction metals. A gate dielectric 902 is conformally deposited in both regions.

[0048] A first workfunction metal 904 (e.g., an n-type workfunction metal in this example, although a p-type workfunction metal could be deposited first in other embodiments) is deposited in the first region 208, with the second region 210 being masked. A second workfunction metal 906 (in this example a p-type workfunction metal) is then deposited across both the first region 208 and the second region 210. A p-type workfunction metal that is deposited on top of an n-type workfunction metal in this manner will not affect the threshold voltage of the finished transistor. As above, the second workfunction metal 906 may pinch off the gap 702, or a fill of an appropriate gate conductor may be used as shown. The relatively large spacing between adjacent channel layers 104 makes patterning the first workfunction material easier, allowing for the removal of that material from, e.g., the second region 210.

[0049] As noted above, these two embodiments can be combined, with differing workfunction metals on thick oxide gate dielectrics. The larger space between vertically adjacent channels leaves significantly more freedom for device design variations as compared to conventional devices.

[0050] It is to be understood that aspects of the present invention will be described in terms of a given illustrative architecture; however, other architectures, structures, substrate materials and process features and steps can be varied within the scope of aspects of the present invention.

[0051] It will also be understood that when an element such as a layer, region or substrate is referred to as being "on" or "over" another element, it can be directly on the other element or intervening elements can also be present. In contrast, when an element is referred to as being "directly on" or "directly over" another element, there are no intervening elements present. It will also be understood that when an element is referred to as being "connected" or "coupled" to another element, it can be directly connected or coupled to the other element or intervening elements can be present. In contrast, when an element is referred to as being "directly connected" or "directly coupled" to another element, there are no intervening elements present.

[0052] The present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.

[0053] Methods as described herein can be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

[0054] It should also be understood that material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes SixGe1-x where x is less than or equal to 1 , etc. In addition, other elements can be included in the compound and still function in accordance with the present principles. The compounds with additional elements will be referred to herein as alloys.

[0055] Reference in the specification to "one embodiment" or "an embodiment", as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase "in one embodiment" or "in an embodiment", as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment.

[0056] It is to be appreciated that the use of any of the following ", "and/or", and "at least one of, for example, in the cases of "A/B", "A and/or B" and "at least one of A and B", is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B). As a further example, in the cases of "A, B, and/or C" and "at least one of A, B, and C", such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C). This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.

[0057] The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms "a," "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises," "comprising," "includes" and/or "including," when used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof.

[0058] Spatially relative terms, such as "beneath," "below," "lower," "above," "upper," and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS, is turned over, elements described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the term "below" can encompass both an orientation of above and below. The device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly. In addition, it will also be understood that when a layer is referred to as being "between" two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.

[0059] It will be understood that, although the terms first, second, etc. can be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element discussed below could be termed a second element without departing from the scope of the present concept.

[0060] Referring now to FIG. 10, a method of forming a FET is shown. Block 1102 forms the stack of layers, including first set of layers 104, second set of layers 106, and third set of layers 108, with the first set of layers 104 being formed from a channel material such as crystalline silicon and with the second and third sets of layers 106 and 108 being formed from sacrificial materials such as carbon-doped silicon germanium and n-type doped silicon, respectively. It is specifically contemplated that block 1102 may deposit these layers by epitaxial growth, but it should be understood that any appropriate deposition process may be used instead.

[0061] Block 1103 forms dummy gates 202 on the stack of layers. The dummy gates 204 are formed from a material such as, e.g., polysilicon, with spacers 206 being formed on the sidewalls of the dummy gates 202. Block 1104 then patterns the stack of layers using the dummy gates 204 and spacers 206 as a mask, creating columns 202. It should be understood that the dimensions of the dummy gate 204 and the spacers 206 will thereby determine the dimensions of the channels that are produced, with different dimensions producing nanowires or nanosheets.

[0062] Block 1106 recesses the sacrificial layers using appropriate wet or dry chemical etches. It is specifically contemplated that these etches should be selective to the channel material of the first set of layers 104, such that the channel structures are not damaged by recessing the sacrificial layers. This may be performed in one etch or in two separate etches. Block 1108 then forms inner spacers 302 on the sidewalls of the sacrificial layers, for example by conformally depositing a layer of spacer material (e.g., silicon nitride) and then using an anisotropic etch to remove the deposited spacer material from horizontal surfaces.

[0063] Block 1110 forms source and drain regions 402 on the sidewalls of the channel structures by, e.g., an epitaxial growth process with in situ doping. The dopant used in the source and drain regions 402 will help determine whether the device is an n-type device or a p-type device. As noted above, different types of devices may be formed in different regions, for example with a first type of device being formed in a first region 208 and with a second type of device being formed in a second region 210. To accomplish this, block 1110 may mask certain regions while the source and drains of devices in other regions are being formed.

[0064] Block 1112 forms an inter-layer dielectric around the structures. This may be accomplished by depositing, for example, a flowable oxide to a height above the dummy gates 204 and then polishing the oxide material down to expose the dummy gates 204 using a CMP process that stops on the material of the dummy gates 204. The dummy gates 204 may then be removed by any appropriate etch in block 1114, leaving the stack of layers in columns 202 exposed.

[0065] Block 1115 etches away the sacrificial layers in columns 202 in one or more wet or dry chemical etch steps, removing the sacrificial layers from between the channel layers. Block 1116 deposits a gate dielectric using a conformal deposition process that deposits layer of gate dielectric material with roughly equal thickness on all exposed surfaces. It should be understood that block 1116 may include multiple such depositions to deposit different gate dielectric materials in different regions by, e.g., masking regions before depositing a gate dielectric in other regions. The first region 208 and the second region 210 may therefore have different gate dielectric materials. It is specifically contemplated that at least one region may have a thick oxide dielectric material as its gate dielectric, which is made possible by a large spacing between vertically adjacent channel structures.

[0066] Block 1118 then deposits workfunction metal on the gate dielectric layer(s). As with the gate dielectrics, the workfunction metal may differ between regions, particularly with respect to whether the device in that region is to be an n-type or a p-type FET. To form different types of devices in different regions then, masks may be used to cover regions while the workfunction metal is being deposited elsewhere. Alternatively, block 1118 may deposit workfunction metal over all surfaces and subsequently etch it away in regions where a different workfunction metal is needed. In such embodiments the second workfunction metal may be deposited over the first workfunction metal in regions where the first workfunction metal remains.

[0067] Block 1120 then finishes the device. If the workfunction metal does not pinch off the gap above the channels, then a gate contact metal such as, e.g., tungsten may be used to reduce contact resistance. Additional contacts are formed to the source and drain regions by anisotropically etching a contact hole through the inter-layer dielectric 502 and depositing a contact conductor to provide electrical connectivity between the source/drain regions 402 and the surface of the inter-layer dielectric.

[0068] While it is specifically contemplated that tungsten may be used for the gate contact metal and the source/drain contact metals, it should be understood that any appropriate conductor may be used instead. Other examples include, e.g., nickel, titanium, molybdenum, tantalum, copper, platinum, silver, gold, ruthenium, iridium, rhenium, rhodium, and alloys thereof. The gate conductor may alternatively include a doped semiconductor material such as, e.g., doped polysilicon.

[0069] Having described preferred embodiments of nanosheet transistors with different gate dielectrics and workfunction metals (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.