Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
NEUROMORPHIC MEMORY DEVICE AND METHOD
Document Type and Number:
WIPO Patent Application WO/2021/133826
Kind Code:
A1
Abstract:
Apparatus and methods are disclosed, including memory devices and systems. Example memory devices, systems and methods include a stack of memory dies, a controller die, and a buffer. Example memory devices, systems and methods include one or more neuromorphic layers logically coupled between one or more dies in the stack of memory dies and a host interface of the controller die.

Inventors:
KEETH BRENT (US)
ROSS FRANK F (US)
MURPHY RICHARD C (US)
Application Number:
PCT/US2020/066664
Publication Date:
July 01, 2021
Filing Date:
December 22, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MICRON TECHNOLOGY INC (US)
International Classes:
G06N3/063; G06N3/04; G06N3/08; G11C11/54
Foreign References:
US20120284436A12012-11-08
KR100988388B12010-10-18
US20190318230A12019-10-17
US20180102344A12018-04-12
JP2016522495A2016-07-28
Other References:
See also references of EP 4081954A4
Attorney, Agent or Firm:
PERDOK, Monique M. et al. (US)
Download PDF:
Claims:
WHAT IS CLAIMED IS:

1. A memory system, comprising: a controller die coupled to a substrate, the controller die including a buffer, the buffer Including a host interface and a die stack interface, wherein the host interface includes at least one channel, and the die stack interface includes two or more sub-channels; a stack of dies supported by the substrate and coupled to the two or more sub-channels; control logic reallocating the connections for the at least one channel to at least two sub-channels; and one or more neuromorphic layers logically coupled between one or more dies in the stack of dies and the host, interface.

2. The memory system of claim 1, wherein the one or more neuromorphic layers are physically located within the controller die.

3. The memory system of claim 1, wherein the buffer is configured to control data speed to the one or more neuromorphic layers.

4. The memory system of claim 1, further including circuitry in the controller die, configured to operate the host interface at a first data speed, and to operate the die stack interface at a second data speed, slower than the first data speed.

5. The memory system of claim 1, wherein the one or more neuromorphic layers include digital neuromorphic layers.

6. The memory system of claim 1, wherein the one or more neuromorphic layers include analog multiply accumulator (MAC) neuromorphic layers.

7. The memory system of claim 1, wherein the one or more neuromorphic layers include both digital and analog portions,

8. The memory system of claim 1, wherein the stack of dies include DRAM dies.

9. The memory system of claim 1, wherein the one or more neuromorphic layers include phase change cells.

10. The memory system of claim 1, wherein the one or more neuromorphic layers include memristor cells.

11. The memory system of claim 1, wherein the substrate is a motherboard.

12. The memory system of claim 1, wherein the substrate is a dual in line memory (DIMM) substrate.

13. The memory system of claim 1, wherein the substrate is an intermediate substrate coupled to a motherboard.

14. A memory system, comprising: a controller die coupled to a substrate, the controller die including a buffer, the buffer including a host interface and a die stack interface, wherein the host interface includes at least one channel, and the die stack interface includes two or more sub-channels; a stack of dies supported by the substrate and coupled to the two or more sub-channels; control logic reallocating the connections for the at least one channel to at least two sub-channels; and one or more neuromorphic layers logically coupled between one or more dies in the stack of dies and the host interface; and logic in the controller die to program one or more neuromorphic weights into the one or more neuromorphic layers.

15. The memory system of claim 14, wherein the one or more neuromorphic layers are physically located within the controller die.

16. The memory system of claim 14, wherein the buffer is configured to control data speed to the one or more neuromorphic layers.

17. The memory system of claim 14, further including circuitry in the controller die, configured to operate the host interface at a first, data speed, and to operate the die stack interface at a second data speed, slower than the first data speed.

18. The memory system of claim 14, wherein the one or more neuromorphic layers includes multiple layers in a single die.

19. The memory system of claim 14, wherein the one or more neuromorphic layers includes multiple layers in multiple dies.

20. The memory system of claim 14, wherein the stack of dies supported by the substrate include one or more wirebond connections to the substrate.

21. The memory system of claim 14, wherein the stack of dies supported by the substrate include one or more through silicon via (TSV) connections to the substrate.

22. The memory system of claim 14, wherein the one or more neuromorphic layers includes from 30 to 100 neuromorphic layers.

23. The memory system of claim 14, wherein multiple die stacks are included on a dual in line memory (DIMM) substrate.

24. The memory system of claim 23, wherein each die stack in the multiple die stacks is associated with a separate controller die.

25. A method, comprising training a neuromorphic device that is located in a memory device; transferring data from one or more dies in a die stack in the memory system to the neuromorphic device at a first data speed; locally processing the data from the die stack using the neuromorphic device; transferring the processed data to a host device through a buffer located in the memory system wherein the buffer is configured to provide a second data speed faster than the first data speed.

26. The method of claim 25, wherein transferring data from one or more dies in a die stack in the memory system to the neuromorphic device includes transferring data from one or more dies to a neuromorphic device located within the die stack.

27. The method of claim 25, wherein transferring data from one or more dies in a die stack in the memory system to the neuromorphic device includes transferring data from the one or more dies to a controller die that includes both the neuromorphic device and the buffer.

Description:
NEUROMORPHIC MEMORY DEVICE AND METHOD

CLAIM OF PRIORITY

[0001] This patent application claims the benefit of priority to U.S.

Provisional Patent Application Serial No. 62/954,186, entitled "NEUROMORPHIC MEMORY DEVICE AND METHOD/" filed on December 27, 2019, which is hereby incorporated by reference herein in its entirety.

BACKGROUND

[0002] Memory devices are semiconductor circuits that provide electronic storage of data for a host system (e.g., a computer or other electronic device). Memory devices may be volatile or non-volatile. Volatile memory requires power to maintain data, and includes devices such as random-access memory (RAM), static random-access memory (SRAM), dynamic random-access memory (DRAM), or synchronous dynamic random-access memory (SDRAM), among others. Non- volatile memory can retain stored data when not powered, and includes devices such as flash memory, read-only memory (ROM), electrically erasable programmable ROM (EEPROM), erasable programmable ROM (EPROM), resistance variable memory, such as phase change random access memory (PCRAM), resistive random-access memory (RRAM), or magnetoresistive random access memory (MRAM), among others.

[0003] Host systems typically include a host processor, a first amount of main memory (e.g., often volatile memory, such as DRAM) to support the host processor, and one or more storage systems (e.g., often non-volatile memory, such as flash memory) that provide additional storage to retain data in addition to or separate from the main memory.

[0004] A storage system, such as a solid-state drive (SSD), can include a memory controller and one or more memory devices, including a number of dies or logical units (LUNs). in certain examples, each die can include a number of memory arrays and peripheral circuitry thereon, such as die logic or a die processor. The memory controller can Include interface circuitry configured to communicate with a host device (e.g., the host processor or interface circuitry) through a communication interface (e.g., a bidirectional parallel or serial communication interface). The memory controller can receive commands or operations from the host system in association with memory operations or instructions, such as read or write operations to transfer data (e.g., user data and associated integrity data, such as error data or address data, etc.) between the memory devices and the host device, erase operations to erase data from the memory devices, perform drive management operations (e.g., data migration, garbage collection, block retirement), etc.

[0005] It is desirable to provide improved main memory, such as DRAM memory. Features of improved main memory that are desired Include, but are not limited to, higher capacity, higher speed, and reduced cost.

BRIEF DESCRIPTION OF THE DRAWINGS [0006] In the drawings, which are not. necessarily drawn to scale, like numerals may describe similar components in different views. Like numerals having different letter suffixes may represent different instances of similar components. The drawings illustrate generally, by way of example, but not by way of limitation, various embodiments discussed in the present document,

[0007] FIG. 1A illustrates a system including a memory device in accordance with some example embodiments.

[0008] FIG. 1B illustrates another system including a memory device in accordance with some example embodiments.

[0009] FIG. 2 illustrates an example memory system In accordance with some example embodiments.

[0010] FIG. 3A illustrates a memory system in block diagram form in accordance with some example embodiments. [0011] FIG. 3B illustrates another memory system in block diagram form in accordance with some example embodiments.

[0012] FIG. 3C illustrates a buffer die in block diagram form in accordance with some example embodiments.

[0013] FIG. 4 illustrates another memory device in accordance with some example embodiments.

[0014] FIG. 5A illustrates another memory device in accordance with some example embodiments.

[0015] FIG. 5B illustrates another memory device in accordance with some example embodiments.

[0016] FIG. 5C illustrates another memory device in accordance with some example embodiments.

[0017] FIG. 5D illustrates another memory device in accordance with some example embodiments.

[0018] FIG. 6 illustrates another memory device in accordance with some example embodiments.

[0019] FIG. 7 illustrates another memory device in accordance with some example embodiments.

[0020] FIG. 8A illustrates another memory device in accordance with some example embodiments.

[0021] FIG. 8B illustrates another memory device in accordance with some example embodiments.

[0022] FIG. 9A illustrates a DRAM die configuration in accordance with some example embodiments.

[0023] FIG. 9B illustrates another DRAM die configuration in accordance with some example embodiments.

[0024] FIG. 9C illustrates another DRAM die configuration in accordance with some example embodiments.

[0025] FIG. 10 illustrates an example neuromorphic layer in accordance with some example embodiments. [0026] FIG. 11 illustrates another example neuromorphic layer In accordance with some example embodiments.

[0027] FIG. 12 illustrates a DIMM memory in accordance with some example embodiments.

[0028] FIG. 13 illustrates a flow diagram of an example method in accordance with some example embodiments.

[0029] FIG. 14 illustrates an example block diagram of an information handling system in accordance with some example embodiments.

DETAILED DESCRIPTION

[0030] The following description and the drawings sufficiently illustrate specific embodiments to enable those skilled in the art to practice them. Other embodiments may incorporate structural, logical, electrical, process, and other changes. Portions and features of some embodiments may be included in, or substituted for, those of other embodiments. Embodiments set forth in the claims encompass all available equivalents of those claims.

[0031] Figure 1A shows an electronic system 100, having a processor 106 coupled to a substrate 102. In some examples substrate 102 can be a system motherboard, or in other examples, substrate 102 may couple to another substrate, such as a motherboard. Electronic system, 100 also includes first and second memory devices 120A, 120B. Memory devices 120A, 120B are also shown supported by substrate 102 adjacent to the processor 106 but are depicted, in an example configuration, coupled to a secondary substrate 124. In other examples, memory devices 120A, 120B can be coupled directly to the same substrate 102 as processor 106.

[0032] The memory devices 120A, 120B, each include a controller die 128 that includes a buffer circuit, coupled to a secondary substrate 124. The memory devices 120A, 120B each include a respective stack of memory devices 122. For purposes of the present description, the stacked memory devices will be described in as one example configuration in which the devices are dynamic random access memory (DRAM) dies 122 A, 122B are each coupled to the secondary substrate 124. Other types of memory devices may be used in place of DRAM, including, for example FeRAM, phase change memory (PCM), 3D XPoint™ memory, NAND memory, or NOR memory, or a combination thereof, in some cases, a single memory device may include one or more memory die that uses a first memory technology (e.g., DRAM) and a second memory die that uses a second memory technology (e.g., SRAM, FeRAM, etc.) different from the first memory technology. [0033] The stack of DRAM dies 122 are shown in block diagram form in

Figure 1A. Other figures in the following description show greater detail of the stack of dies and various stacking configurations. In the example of Figure 1A, a number of wire bonds 126 are shown coupled to the stack of DRAM dies 122. Additional circuitry (not shown) is included on or within the substrate 124. The additional circuitry completes the connection between the stack of DRAM dies 122, through the wire bonds 126, to the buffer die 120. Selected examples may include through silicon vias (TSVs) instead of wire bonds 126 as will be described in more detail in subsequent figures.

[0034] Substrate wiring 104 is shown coupling the memory device 120A to the processor 106. In the example of Figure 1B, an additional memory device 120B is shown. Although two memory devices 120A, 120B are shown for the depicted example, a, single memory structure may be used, or a number of memory devices greater than two may be used. Examples of memory devices as described in the present disclosure provide increased capacity near memory with increased speed and reduced manufacturing cost.

[0035] Figure 1B shows an electronic system 150, having a processor 156 coupled to a substrate 152. The system 150 also includes first and second memory devices 160A, 16ºB. In contrast to Figure 1A, in Figure 1B, the first and second memory devices 160A, 160B are directly connected to the same substrate 102 as the processor 156, without any intermediary substrates or interposers. This configuration can provide additional speed and reduction in components over the example of Figure 1A. Similar to the example of Figure 1A, a controller die 168 inciuding a buffer is shown adjacent to a stack of DRAM dies 162. Wire bonds 166 are shown as an example interconnection structure, however other interconnection structures such as TSVs may be used.

[0036] Figure 2 shows a memory system 200 similar to systems 120A, 120B from Figure 1A, or systems 160A, 160B from Figure 1B. The memory system 200 includes a controller die 202 coupled to a substrate 204. in one example, the controller die 202 includes a buffer, as described in examples above. The memory device 200 also includes a stack of DRAM dies 210 coupied to the substrate 204. In the example of Figure 2, the individual dies in the stack of DRAM dies 210 are laterally offset from one or more vertically adjacent die specifically, in the depicted example, each die is laterally offset from both vertically adjacent die. As an example, the die may be staggered in at least one stair step configuration. The Example of Figure 2 shows two different stagger directions in the stair stepped stack of DRAM dies 210. In the illustrated dual stair step configuration, an exposed surface portion 212 of each die is used for a number of wire bond interconnections.

[0037] Multiple wire bond interconnections 214, 216 are shown from the dies in the stack of DRAM dies 210 to the substrate 204. Additional conductors (not shown) on or within the substrate 204 further couple the wire bond interconnections 214, 216 to the controller die 202. The controller die 202 is shown coupled to the substrate 204 using one or more solder interconnections 203, such as a solder ball array. A number of substrate solder interconnections 206 are further shown on a bottom side of the substrate 204 to further transmit signals and data from the controller die 202 into a substrate 102 and eventually to a processor 106 as shown in Figure 1B.

[0038] Figure 3A shows a top view block diagram of a memory device 300 with a stack of memory dies 310. In one example, the stack of memory dies 310 includes DRAM dies. The stack of dies are shown coupled to a substrate 303. The stack of memory dies 310 are coupled to a controller die 302 through conductive traces 301. In the example of Figure 3A, the controller die 302 includes a buffer 350 and a neuromorphic device 380. In one example, neuromorphic device is coupled between one or more dies in the stack of memory dies 310 and the buffer 350. It is desirable to perform computing operations, such as neuromorphic commuting operations, closer to the memory dies 310 where the data is stored. The close physical proximity of the neuromorphic device 380 to the memory dies 310 shortens a physical distance that data must travel in a computation. The shorter distance improves computing time, and reduces power needed to send data signals back and forth between a processor (for example processor 106 from Figure 1) and memory dies in a memory device.

[0039] In the configuration shown in Figure 3A the buffer 350 permits different data speeds between the controller die 302 and the stack of dies 310 , as compared to a data speed between the controller die 302 and a host device, or processor, such as processor 106. This configuration may enhance the ability of the neuromorphic device to process large amounts of data without causing unwanted lags between the memory device 300 and the host device, or processor, such as processor 106. For example, a wider, slower data interface may be better suited to interact between the stack of memory dies 310 and the neuromorphic device 380, while a faster more narrow data interface may be better suited for interactions between the controller die 302 and the host device, or processor, such as processor 106. In one example, the buffer 350 of the controller die 302 performs this function. Examples of neuromorphic device structures are discussed in more detail below with regard to Figures 10 and 11.

[0040] Figure 3B shows a side view block diagram of a memory device 330 with a stack of dies 331. In one example, the stack of dies 331 includes DRAM dies. The stack of dies are shown coupled to a substrate 333. In the example of Figure 3B, the stack of dies 331 includes memory dies 334 and at least one die 332 including one or more neuromorphic layer. The stack of memory dies 331 are coupled to a controller die 336 through conductive traces 338. in the example of Figure 3B, the controller die 336 includes a buffer 337. The one or more neuromorphic layers of the die 332 are coupled between the memory dies 334 and the controlier die 336. Similar to the operation of the memory device 300 from Figure 3A, this configuration may enhance the ability of the one or more neuromorphic layers to process large amounts of data from the memory dies 334 with a wider and slower channel on one side of the buffer 337, while maintaining a faster more narrow interface between the memory device 330 and the host device, or processor, such as processor 106 on the other side of the buffer 337.

[0041] Two example locations of neuromorphic layers are shown in Figures

3A and 3B, however, the invention is not so limited. Other physical locations of neuromorphic layers are possible where the neuromorphic layers are located between one or more dies in a stack of memory dies and a host interface on a controller. Other possibilities include, but are not limited to a separate die from either a controller die or a stack of dies, where the separate die is located on a substrate such as substrate 303 or substrate 333.

[0042] Selected examples may include only a single neuromorphic layer.

Other examples may include multiple neuromorphic layers. In one example multiple neuromorphic layers may include from 30 to 100 neuromorphic layers. Example devices such as image recognition systems for driverless vehicle navigation may utilize a number of layers in the range of 30-100. in one example a single die may include multiple neuromorphic layers. In one example, multiple dies may include multiple neuromorphic layers.

[0043] Figure 3C shows a block diagram of a buffer such as buffer 350 from

Figure 3A or buffer 337 from Figure 3B. In one example, memory devices including a buffer as described in examples below better facilitate incorporation of local neuromorphic processing. For example the slower and wider interface 354 may be better suited to handling slower and wider data from a neuromorphic operation being performed between a stack of memory dies and the buffer. After a computed result from one or more neuromorphic layers is available, it can be transmitted through the buffer to a the narrower, higher speed host interface such as interface 352 from Figure 3C. [0044] A host device interface 352 and a memory interface 354 are shown.

Additional circuitry components of the buffer 350 may include a controller and switching logic 356, row address select (RAS) logic 357, and built in self-test (BIST) login 358. Communication from the buffer 350 to a stack of memory dies is indicated by arrows 360. Communication from the buffer 350 to a host device is indicated by arrows 362 and 364. if Figure 3B, arrows 364 denote communication from command/address (CA) pins, and arrows 362 denote communication from data (DQ) pins. Example numbers of CA pins and DQ. pins are provided only as examples, as the host device interface may have substantially greater or fewer of either or both CA and DQ , pins. The number of pins of either type required may vary depending upon the width of the channel of the interface, the provision for additional bits (for example ECC bits), among many other variables, in many examples, the host, device interface will be an industry standard memory interface (either expressly defined by a standard-setting organization, or a de facto standard adopted in the industry).

[0045] In one example, all CA pins 364 act as a single channel, and all data pins .362 act as a single channel. In one example, all CA pins 364 service all data pins 362. In another example, the CA pins 364 are subdivided into multiple sub- channels. In another example, the data pins 362 are subdivided into multiple sub- channels. One configuration may include a portion of the CA pins 364 servicing a portion of the data pins 362. In one specific example, 8 CA pins service 9 data pins as a sub-combination of CA pins and data pins. Multiple sub-combinations such as the 8 CA pin/9 data pin example, may be included in one memory device.

[0046] In operation, a possible data speed from a host device may be higher than interconnection components to memory dies such as trace lines, TSVs, wire bonds, etc. can handle. The addition of a buffer, such as buffer 350 from Figure 3A, buffer 337 from Figure 3B, or other form of buffer assembly, allows fast data interactions from a host device to be buffered, in the example of Figure 3C, the host interface 352 is configured to operate at a first data speed. In one example, the first data speed may match the speed that the host device is capable of delivering,

[0047] In one example, the memory interface 354 is configured to operate at a second data speed, slower than the first data speed, in one example, the memory interface 534 is configured to be both slower and wider than the host interface 352. In operation, the buffer may translate high speed data interactions on the host interface 352 side into slower, wider data interactions on the memory interface 354 side. Additionaliy, as further described beiow, to maintain data throughput at least approximating that of the host interface, in some examples, the buffer can reallocate the connections of the host interface to multiple sub- channels associated with respective memory interfaces. The slower, and wider memory interface 354 may be configured to substantially match the capacity of the narrower, higher speed host interface 352. in this way, more limited interconnection components to memory dies such as trace lines, TSVs, wire bonds, etc. are able to handle the capacity of interactions supplied from the faster host device. Though one example host interface (with both CA pins and DQ pins) to buffer 350 is shown, buffer 350 may include multiple host interfaces for separate data paths that are each reallocated by buffer 350 to multiple DRAM interfaces, in a similar manner.

[0048] in one example, the host device interface 352 includes a first number of data paths, and the memory interface 354 includes a second number of data paths greater than the first number of data paths, in one example, circuitry in the buffer 352 maps data and commands from the first number of data paths to the second number of data paths, in such a configuration, the second number of data paths provide a slower and wider interface, as described above.

[0049] In one example the command/address pins 364 of the host device interface 352 include a first number of command/address paths, and on a corresponding memory interface 354 side of the buffer 350, the memory interface 354 includes a second number of command/address paths that is larger than the first number of command/address paths. In one example, the second number of command/address paths is twice the first number of command/address paths. In one example, the second number of command/address paths is more than twice the first number of command/address paths, in one example, the second number of command/address paths is four times the first number of command/address paths. In one example, the second number of command/address paths is eight times the first number of command/address paths.

[0050] In one example, a given command/address path on the memory interface 354 side of the buffer 352 is in communication with only a single DRAM die. In one example, a given command/address path on the memory interface 354 side of the buffer 350 is in communication with multiple DRAM dies, in one example, a given command/address path on the memory interface 354 side of the buffer 350 is in communication with 4 DRAM dies. In one example, a given command/address path on the memory interface 354 side of the buffer 350 is in communication with 16 DRAM dies.

[0051] In one example the data pins 362 of the host device interface 352 include a first number of data paths, and on a corresponding memory interface 354 side of the buffer 352, the memory interface 354 includes a second number of data paths that is larger than the first number of data paths, in one example, the second number of data paths is twice the first number of data paths, in one example, the second number of data paths is more than twice the first number of data paths. In one example, the second number of data paths is four times the first number of data paths, in one example, the second number of data paths is eight times the first number of data paths.

[0052] In one example, a data path on the memory interface 354 side of the buffer 350 is in communication with only a single DRAM die. In one example, a given data path on the memory interface 354 side of the buffer 350 is in communication with multiple DRAM dies. In one example, a given data path on the memory interface 354 side of the buffer 350 is in communication with 4 DRAM dies, in one example, a given data path on the memory interface 354 side of the buffer 350 is in communication with 16 DRAM dies. [0053] In one example, the host interface 352 includes different speeds for command/address pins 364, and for data pins 362. In one example, data pins 362 of the host interface are configured to operate at 6.4 Gb/s. in one example, command/address pins 364 of the host interface are configured to operate at 3.2 Gb/s.

[0054] In one example, the memory interface 354 of the buffer 350 slows down and widens the communications from the host interface 352 side of the buffer 350. In one example, where a given command/address path from the host interface 352 is mapped to two command/address paths on the memory interface 354, a speed at the host interface is 3.2 Gb/s, and a speed at the memory interface 354 is 1.6 Gb/s.

[0055] In one example, where a given data path from the host interface

352 is mapped to two data paths on the memory Interface 354, a speed at the host interface is 6.4 Gb/s, and a speed at the memory interface 354 is 3.2 Gb/s, where each data path is in communication with a single DRAM die in a stack of DRAM dies, in one example, where a given data path from the host interface 352 is mapped to four data paths on the memory interface 354, a speed at the host interface is 6.4 Gb/s, and a speed at the memory interface 354 is 1.6 Gb/s, where each data path is in communication with four DRAM dies in a stack of DRAM dies.

In one example, where a given data path from the host interface 352 is mapped to eight data paths on the memory interface 354, a speed at the host interface is 6.4 Gb/s, and a speed at the memory interface 354 is 0.8 Gb/s, where each data path is in communication with 16 DRAM dies in a stack of DRAM dies.

[0056] In one example, a pulse amplitude modulation (PAM) protocol is used to communicate on the memory interface 354 side of the buffer 350, In one example, the PAM protocol includes PAM-4, although other PAM protocols are within the scope of the invention, in one example, the PAM protocol increases the data bandwidth. In one example, where a given data path from the host interface 352 is mapped to four data paths on the memory interface 354, a speed at the host interface is 6.4 Gb/s, and a speed at the memory interface 354 is 0.8 Gb/s using a PAM protocol, where each data path is in communication with four DRAM dies in a stack of DRAM dies. In one example, where a given data path from the host interface 352 is mapped to eight data paths on the memory interface 364, a speed at the host interface is 6.4 Gb/s, and a speed at the memory interface 354 is 0.4 Gb/s using a PAM protocol, where each data path is in communication with 16 DRAM dies in a stack of DRAM dies.

[0057] A number of pins needed to communicate between the buffer 350 and an example 16 DRAM dies varies depending on the number of command/address paths on the memory interface 354 side of the buffer 350, and on the number of DRAM dies coupled to each data path. The following table shows a number of non-limiting examples of pin counts and corresponding command/address path configurations. [0058] A number of pins needed to communicate between the buffer 350 and an example 16 DRAM dies varies depending on the number of data paths on the memory interface 354 side of the buffer 350, and on the number of DRAM dies coupled to each data path. The following table shows a number of non-limiting examples of pin counts and corresponding data path configurations.

[0059] As illustrated in selected examples below, the number of pins in the above tables may be coupled to the DRAM dies in the stack of DRAM dies in a number of different ways. In one example, wire bonds are used to couple from the pins to the number of DRAM dies, in one example, TSVs are used to couple from the pins to the number of DRAM dies. Although wire bonds and TSVs are used as an example, other communication pathways apart from wire bonds and TSVs are also within the scope of the invention.

[0060] Figure 4 shows another example of a memory device 400. The memory device 400 includes a controller die 402, including a buffer as described above, coupled to a substrate 404. The memory device 400 also includes a stack of DRAM dies 410 coupled to the substrate 404. In the example of Figure 4, the stack of DRAM dies 410 are staggered in at least one stair step configuration. The Example of Figure 4 shows two different stagger directions in the stair stepped stack of DRAM dies 410. Similar to the configuration of Figure 2, in the illustrated stair step configuration, an exposed surface portion 412 is used for a number of wire bond interconnections.

[0061] Multiple wire bond interconnections 414, 416 are shown from the dies in the stack of DRAM dies 410 to the substrate 404. Additional conductors (not shown) on or within the substrate 404 further couple the wire bond interconnections 414, 416 to the controller die 402. The controller die 402 is shown coupled to the substrate 404 using one or more solder interconnections, such as a solder ball array. A number of substrate solder interconnections 406 are further shown on a bottom side of the substrate 404 to further transmit signals and data from the buffer die into a motherboard and eventually to a host device. [0062] In the example of Figure 4, the multiple wire bond interconnections

414, 416 are serially connected up the multiple stacked DRAM dies, in selected examples, a single wire bond may drive a load in more than one DRAM die. In such an example, the wire bond interconnections may be serially connected as shown in Figure 4. In one example, a single wire bond may be serially connected to four DRAM dies. In one example, a single wire bond may be serially connected to eight DRAM dies. In one example, a single wire bond may be serially connected to sixteen DRAM dies. Other numbers of serially connected DRAM dies are also within the scope of the invention. Additionally, CA connections of the DRAM interface may be made to a first number of the DRAM dies, while the corresponding DQ connections of the DRAM interface may be made to a second number of the DRAM dies different from the first number.

[0063] Figure 5A shows another example of a memory device 500. The memory device 500 includes a controller die 502, including a buffer as described above, coupled to a substrate 504. The memory device 500 also includes a stack of DRAM dies 510 coupled to the substrate 504. in the example of Figure 5A, the stack of DRAM dies 510 are staggered in at least one stair step configuration. The Example of Figure 5 shows two different stagger directions in the stair stepped stack of DRAM dies 510. in the illustrated stair step configuration, an exposed surface portion 512 is used for a number of wire bond interconnections.

[0064] Multiple wire bond interconnections 514, 516 are shown from the dies in the stack of DRAM dies 410 to the substrate 404. Additional conductors (not shown) on or within the substrate 504 further couple the wire bond interconnections 514, 516 to the controller die 502. The controller die 502 is shown coupled to the substrate 504 using one or more solder interconnections, such as a solder ball array. A number of substrate solder interconnections 506 are further shown on a bottom side of the substrate 504 to further transmit signals and data from the buffer die into a motherboard and eventually to a host device. [0065] In the example of Figure 5A, the controller die 502 is located at least partially underneath the stack of DRAM dies 510. In one example, an encapsulant 503 at least partially surrounds the controller die 502. The example of Figure 5A further reduces an areal footprint of the memory device 500. Further, an interconnect distance between the stack of DRAM dies 510 and the controller die 502 is reduced.

[0066] Figure 5B shows another example of a memory device 520. The memory device 520 includes a controller die 522, including a buffer as described above, coupled to a substrate 524. The memory device 520 also includes a stack of DRAM dies 530 coupled to the substrate 524. Multiple wire bond interconnections 534, 536 are shown from the dies in the stack of DRAM dies 530 to the substrate 524. in the example of Figure 5B, the multiple wire bond interconnections 534, 536 are serially connected up the multiple stacked DRAM dies. In one example, a single wire bond may be serially connected to four DRAM dies, in one example, a single wire bond may be serially connected to eight DRAM dies, in one example, a single wire bond may be serially connected to sixteen DRAM dies. Other numbers of serially connected DRAM dies are also within the scope of the invention.

[0067] Figure 5C shows a top view of a memory device 540 similar to memory devices 500 and 520. In the example of Figure 5C, a controller die 542, including a buffer as described above, is shown coupled to a substrate 544, and located completely beneath a stack of DRAM dies 550. Figure 5D shows a top view of a memory device 560 similar to memory devices 500 and 520. In Figure 5D, a controller die 562 is coupled to a substrate 564, and located partially underneath a portion of a first stack of DRAM dies 570 and a second stack of DRAM dies 572. In one example, a shorter stack of DRAM dies provides a shorter interconnection path, and a higher manufacturing yield. In selected examples, it may be desirable to use multiple shorter stacks of DRAM dies for these reasons. One tradeoff of multiple shorter stacks of DRAM dies is a larger areal footprint of the memory device 560.

[0068] Figure 6 shows another example of a memory device 600, The memory device 600 includes a controller die 602, including a buffer as described above, coupled to a substrate 604. The memory device 600 also includes a stack of DRAM dies 610 coupled to the substrate 604. In the example of Figure 6, the stack of DRAM dies 610 are staggered in at least one stair step configuration. The Example of Figure 6 shows four staggers, in two different stagger directions in the stair stepped stack of DRAM dies 610. The stack of DRAM dies 610 in Figure 6 includes 16 DRAM dies, although the invention is not so limited. Similar to other stair step configurations shown, in Figure 6, an exposed surface portion 612 is used for a number of wire bond interconnections.

[0069] Multiple wire bond interconnections 614, 616 are shown from the dies in the stack of DRAM dies 610 to the substrate 604. Additional conductors (not shown) on or within the substrate 604 further couple the wire bond interconnections 614, 616 to the controller die 602. The controller die 602 is shown coupled to the substrate 604 using one or more solder interconnections, such as a solder ball array. A number of substrate solder interconnections 606 are further shown on a bottom side of the substrate 604 to further transmit signals and data from the controller die into a motherboard and eventually to a host device.

[0070] Figure 7 shows another exampie of a memory device 700. The memory device 700 includes a controller die 702, including a buffer as described above, coupled to a substrate 704. The memory device 700 also includes a stack of DRAM dies 710 coupled to the substrate 704. In the example of Figure 7, the stack of DRAM dies 710 are staggered in at least one stair step configuration. The Exampie of Figure 7 shows four staggers, in two different stagger directions in the stair stepped stack of DRAM dies 710. The stack of DRAM dies 710 in Figure 7 includes 16 DRAM dies, although the invention is not so limited. Similar to other stair step configurations shown, in Figure 7, an exposed surface portion 712 is used for a number of wire bond interconnections.

[0071] Multiple wire bond interconnections 714, 716 are shown from the dies in the stack of DRAM dies 710 to the substrate 704. Additional conductors (not shown) on or within the substrate 704 further couple the wire bond interconnections 714, 716 to the controller die 702. The controller die 702 is shown coupled to the substrate 704 using one or more solder interconnections, such as a solder ball array. A number of substrate solder interconnections 706 are further shown on a bottom side of the substrate 704 to further transmit signals and data from the controller die into a motherboard and eventually to a host device.

[0072] In the example of Figure 7, the controller die 702 is located at least partially underneath the stack of DRAM dies 710. In one example, an encapsulant 703 at least partially surrounds the controller die 702. The example of Figure 7 further reduces an areal footprint of the memory device 700. Additionaily, an interconnect distance between the stack of DRAM dies 710 and the controiler die 702 is reduced.

[0073] Figure 8A shows another example of a memory device 800. The memory device 800 includes a controller die 802, including a buffer as described above, coupled to a substrate 804. The memory device 800 also includes a stack of DRAM dies 810 coupled to the substrate 804. In the example of Figure 8A, the stack of DRAM dies 810 are vertically aligned. The stack of DRAM dies 810 in Figure 8A includes 8 DRAM dies, although the invention is not so limited.

[0074] Multiple TSV interconnections 812 are shown passing through, and communicating with one or more dies in the stack of DRAM dies 810 to the substrate 804. Additional conductors (not shown) on or within the substrate 804 further couple the TSVs 812 to the controller die 802. The controiler die 802 is shown coupled to the substrate 804 using one or more solder interconnections, such as a solder ball array. A number of substrate solder interconnections 806 are further shown on a bottom side of the substrate 804 to further transmit signals and data from the controller die into a motherboard and eventually to a host device.

[0075] Figure 8B shows another example of a memory device 820. The memory device 820 includes a controller die 822, including a buffer as described above, coupled to a substrate 824. The memory device 820 also includes a stack of DRAM dies 830 coupled to the substrate 824. In the example of Figure 88, the stack of DRAM dies 830 are vertically aligned. The stack of DRAM dies 830 in Figure SB includes 16 DRAM dies, although the invention is not so limited.

[0076] Multiple TSV interconnections 832 are shown passing through, and communicating with one or more dies in the stack of DRAM dies 830 to the substrate 824. Additional conductors (not shown) on or within the substrate 824 further couple the TSVs 832 to the controller die 822. The controller die 822 is shown coupled to the substrate 824 using one or more solder interconnections, such as a solder ball array. A number of substrate solder interconnections 826 are further shown on a bottom side of the substrate 824 to further transmit signals and data from the controller die into a motherboard and eventually to a host device.

[0077] Figure 9A shows a block diagram of a single DRAM die 900 that may be included in a stack of memory dies according to any of the examples in the present disclosure. In Figure 9A, the DRAM die 900 includes a storage region 902 that contains arrays of memory cells. A first data I/O stripe 904 is shown passing from a first side 901 to s second side 903 of the DRAM die 900. In one example, contacts may be formed on edges of the first data I/O stripe 904 on one or both sides 901, 903 of the first data I/O stripe 904. Contacts may be connected to wire bonds as described in examples above, in other examples, TSVs may be coupled to the first data I/O stripe 904, at sides 901, 903, or other locations along the first data I/O stripe 904.

[0078] A second data I/O stripe 906 is further shown in Figure 9A. In one example, the second data I/O stripe 906 is substantially the same as the first data I/O stripe 904. In the example, of Figure 9A, each data I/O stripe includes 36 contacts for connection to wire bonds on either side. With two data I/O stripes, and two sides each, the DRAM die 900 includes connections for 144 wire bonds or TSVs.

[0079] A command/address stripe 910 is further shown in Figure 9A. In the example shown, the command/address stripe 910 includes 30 contacts for connection to wire bonds or TSVs. in one example, one or more of the DRAM dies may include a redistribution layer redistributing connections of one or more of the data I/O stripes 904, 906, 910 to a second location for wire bonding, such as to one or more rows of wire bond pads along an edge of the die (as depicted relative to the example wire bonded stack configurations discussed earlier herein).

[0080] Figure 9B shows a block diagram of a stack of four DRAM dies 920 that may be included in a stack of DRAM dies according to any of the examples in the present disclosure. In Figure 9B, each die in the stack 920 includes a storage region 922 that contains arrays of memory cells. A first data I/O stripe 924 is shown passing from a first side 921 to s second side 923 of the stack 920. In one example, contacts may be formed on edges of the first data I/O stripe 924 on one or both sides 921, 923 of the first data I/O stripe 924. Contacts may be connected to wire bonds as described in examples above. In other examples, TSVs may be coupled to the first data I/O stripe 924, at sides 921, 923, or other locations along the first data I/O stripe 924.

[0081] A second data I/O stripe 926 is further shown in Figure 9B. In one example, the second data I/O stripe 926 is substantially the same as the first data I/O stripe 924. in the example, of Figure 9B, each data I/O stripe includes 9 contacts for connection to wire bonds on either side. With two data I/O stripes, and two sides, each DRAM die in the stack 920 includes connections for 36 wire bonds or TSVs. In one example, all four of the dies in the stack 920 are driven by a single data path as described in examples above.

[0082] A command/address stripe 930 is further shown in Figure 9B. In the example shown, the command/address stripe 930 includes 30 contacts for connection to wire bonds or TSVs.

[0083] Figure 9C shows a block diagram of a stack of four DRAM dies 940 that may be included in a stack of memory dies according to any of the examples in the present disclosure. In Figure 9C, each die in the stack 940 includes a storage region 942 that contains arrays of memory cells. A single data I/O stripe 944 is shown passing from a first side 941 to s second side 943 of the stack 940. In one example, contacts may be formed on edges of the data I/O stripe 944 on one or both sides 941, 943 of the data I/O stripe 944, Contacts may be connected to wire bonds as described in examples above. In other examples, TSVs may be coupled to the data I/O stripe 944, at sides 941, 943, or other locations along the first data I/O stripe 944.

[0084] In the example, of Figure 9C, the single data I/O stripe 944 includes

18 contacts for connection to wire bonds on either side. With two sides, each DRAM die in the stack 940 includes connections for 36 wire bonds or TSVs. in one example, all four of the dies in the stack 940 are driven by a single data path as described in examples above.

[0085] A command/address stripe 950 is further shown in Figure 9B. In the example shown, the command/address stripe 950 includes 30 contacts for connection to wire bonds or TSVs.

[0086] In the example memory devices described above including Figures

4-8B, one or more neuromorphic layers are coupled between one or more dies in the stack of dies and a host interface of an associated controller die. As described above, a buffer better facilitates operation of the one or more neuromorphic layers. Several different locations of the one or more neuromorphic layers are possible in each configuration described. Example locations include locations within the controller die, within the stack of memory dies, or other locations that are along a transmission path between the stack of memory dies and a host interface of an associated controller die.

[0087] Figure 10 shows an example architecture of a neuromorphic layer

1000 that may be one layer in a neuromorphic device according to one embodiment. The neuromorphic layer 1000 includes an array of input, lines 1002 and an array of output lines 1004. A number of logical weighting devices 1010 are coupled between the array of input lines 1002 and the array of output lines 1004. [0088] Examples of logical weighting devices 1010 may include analog weighting devices, digital weighting devices, or hybrid analog and digital weighting devices. Examples of logical weighting devices 1010 include, but are not limited to, memristors, ReRAM, phase change cells, multilayer storage devices, flash memory, etc. In one example, the logical weighting devices 1010 are capable of accumulating a logical weight that is representative of a variable number of inputs from the array of input lines 1002. As the logical weighting devices 1010 accumulate a weight, they are configured to transmit their relative weight to the array of output lines 1004. After a learning process, or a training process, the array of weighting devices 1010 is capable of recognizing a pattern.

[0089] In one example, a number of neuromorphic layers such as layer

1000 are logically coupled together to process information and pass information from a first layer to subsequent layers. A pattern of weights in individual ceils in each successive layer yields a neuromorphically processed result. Examples of results include image recognition, such as for automated driving, or voice recognition.

[0090] Figure 11 shows an example of a hybrid digital and analog neuromorphic layer 1100 that may be one layer in a neuromorphic device according to one embodiment. An array of input lines 1104 and an array of output lines 1106 are shown. A number of logical weighting devices 1110 are coupled between the array of input lines 1104 and the array of output lines 1106. In the example of Figure 11, a number of access devices 1112 are shown, along with an access line 1102 to selectively activate the access devices 1112. In one example, the access devices 1112 include transistors. Operation of the logical weighting devices 1110 is similar to the examples described in Figure 10. Examples of logical weighting devices 1110 may include analog weighting devices, digital weighting devices, or hybrid analog and digital weighting devices. Examples of logical weighting devices 1110 include, but. are not limited to, memristors, ReRAM, phase change cells, multilayer storage devices, flash memory, etc. As the logical weighting devices 1110 accumulate a weight, they are configured to transmit their relative weight to the array of output lines 1106. After a learning process, or a training process, the array of weighting devices 1110 is capable of recognizing a pattern. [0091] In the example of Figure 11, a number of digital to analog converters

(DAC) 1120 are shown at an interface with the array of input lines 1104. A number of analog to digital converters (ADC) 1122 are further shown at an interface with the array of output lines 1106. in this way, a digital signal may arrive at a DAC 1120 and be converted in to an analog signal, The analog signal may be added to a weighting devices 1110 depending on the input. An analog signal, modified by the weighting device 1110 may them pass down an output line 1106, and be converted back to a respectively weighted digital signal at the ADC 1122.

[0092] Figure 12 shows an example of a DIMM memory 1200 incorporating aspects of neuromorphic devices and buffers as described above. The DIMM memory 1200 includes a substrate 1202, and one or more memory devices 1210.

In one example each memory device 1210 may include a stack of memory dies, a controller die, a buffer, and one or more neuromorphic layers as described in examples above. The DIMM memory 1200 further includes a number of pins 1220, that include CA/CS pins 1224 and DQ. pins 1222 as described in example configurations above. It may be advantageous to use the commonly accepted industry format of a DIMM socket. In this way the advantages of local processing using one or more neuromorphic layers can be applied to existing memory formats to yield improved processing power and speed.

[0093] Figure 13 shows a block diagram of one method of operation according to an embodiment of the invention, in operation 1302 a neuromorphic device that is located in a memory device is trained. Examples of training include, but are not limited to, using human input to provide a first line of recognition of basic image configuration components, or audio components, etc. The process of using an introductory level of human training makes computer recognition much more efficient and/or effective. One example of training includes verification programs used by the internet to check that a user is human. One example includes indicating which images include a fire hydrant, or a bus. The act of a human entering this input may be used to train a computer to more easily recognize objects such as a fire hydrant or a bus. [0094] In operation 1304 data is transferred from one or more dies in a die stack in the memory device to the neuromorphic device at a first data speed. In operation 1306, the data from the die stack is locally processed using the neuromorphic device, and in operation 1308, the processed data is transferred to a host device through a buffer located in the memory device wherein the buffer is configured to provide a second data speed faster than the first data speed.

[0095] FIG. 14 illustrates a block diagram of an example machine (e.g., a host system) 1400 which may include one or more memory devices and/or systems as described above. In alternative embodiments, the machine 1400 may operate as a standalone device or may be connected (e.g., networked) to other machines. In a networked deployment, the machine 1400 may operate in the capacity of a server machine, a client machine, or both in server-client network environments. In an example, the machine 1400 may act as a peer machine in peer-to-peer [P2P) (or other distributed) network environment. The machine 1400 may be a personal computer (PC), a tablet PC, a set-top box (STB), a personal digital assistant (PDA), a mobile telephone, a web appliance, an loT device, automotive system, or any machine capable of executing instructions (sequential or otherwise) that specify actions to be taken by that machine. Further, while only a single machine is illustrated, the term "machine" shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein, such as cloud computing, software as a service (SaaS), other computer cluster configurations. [0096] Examples, as described herein, may include, or may operate by, logic, components, devices, packages, or mechanisms. Circuitry is a collection (e.g., set) of circuits implemented in tangible entities that Include hardware (e.g., simple circuits, gates, logic, etc.). Circuitry membership may be flexible over time and underlying hardware variability. Circuitries include members that may, alone or in combination, perform specific tasks when operating. In an example, hardware of the circuitry may be immutably designed to carry out a specific operation (e.g., hardwired), in an example, the hardware of the circuitry may include variably connected physical components (e.g., execution units, transistors, simple circuits, etc.) including a computer-readable medium physically modified (e.g., magnetically, electrically, moveable placement of invariant massed particles, etc.) to encode instructions of the specific operation. In connecting the physical components, the underlying electrical properties of a hardware constituent are changed, for example, from an insulator to a conductor or vice versa. The instructions enable participating hardware (e.g., the execution units or a loading mechanism) to create members of the circuitry in hardware via the variable connections to carry out portions of the specific tasks when in operation. Accordingly, the computer-readable medium is communicatively coupled to the other components of the circuitry when the device is operating. In an example, any of the physical components may be used in more than one member of more than one circuitry. For example, under operation, execution units may be used in a first circuit of a first circuitry at one point in time and reused by a second circuit in the first circuitry, or by a third circuit in a second circuitry at a different time.

[0097] The machine (e.g., computer system, a host system, etc.) 1400 may include a processing device 1402 (e.g., a hardware processor, a central processing unit (CPU), a graphics processing unit (GPU), a hardware processor core, or any combination thereof, etc.), a main memory 1404 (e.g., read-only memory (ROM), dynamic random-access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), a static memory 1406 (e.g., static random-access memory (SRAM), etc.), and a storage system 1418, some or all of which may communicate with each other via a communication interface (e.g., a bus) 1430. In one example, the main memory 1404 includes one or more memory devices as described in examples above.

[0098] The processing device 1402 can represent one or more general- purpose processing devices such as a microprocessor, a central processing unit, or the like. More particularly, the processing device can be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, or a processor implementing other instruction sets, or processors implementing a combination of instruction sets. The processing device 1402 can also be one or more special- purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. The processing device 1402 can be configured to execute instructions 1426 for performing the operations and steps discussed herein. The computer system 1400 can further include a network interface device 1408 to communicate over a network 1420.

[0099] The storage system 1418 can include a machine-readable storage medium [also known as a computer-readable medium) on which is stored one or more sets of instructions 1426 or software embodying any one or more of the methodologies or functions described herein. The instructions 1426 can aiso reside, completely or at least partially, within the main memory 1404 or within the processing device 1402 during execution thereof by the computer system 1400, the main memory 1404 and the processing device 1402 also constituting machine- readable storage media.

[0100] The term "machine-readable storage medium" should be taken to

Include a single medium or multiple media that store the one or more sets of instructions, or any medium that is capable of storing or encoding a set of instructions for execution by the machine and that cause the machine to perform any one or more of the methodologies of the present, disclosure. The term "machine-readable storage medium" shall accordingly be taken to include, but not be limited to, solid-state memories, optical media, and magnetic media, in an example, a massed machine-readable medium comprises a machine-readable medium with multiple particles having invariant (e.g., rest) mass. Accordingly, massed machine-readable media are not transitory propagating signals. Specific examples of massed machine-readable media may include: non-volatile memory, such as semiconductor memory devices (e.g., Electrically Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory (EEPROM)) and flash memory devices; magnetic disks, such as internal hard disks and removable disks; magneto-optical disks; and CD-ROM and DVD-ROM disks. [0101] The machine 1400 may further include a display unit, an alphanumeric input device (e.g., a keyboard), and a user interface (Ul) navigation device [e,g., a mouse). In an example, one or more of the display unit, the input device, or the Ul navigation device may be a touch screen display. The machine a signal generation device (e.g., a speaker), or one or more sensors, such as a global positioning system (GPS) sensor, compass, accelerometer, or one or more other sensor. The machine 1400 may include an output controller, such as a serial (e.g., universal serial bus (USB), parallel, or other wired or wireless (e.g., infrared (IR), near field communication (NFC), etc.) connection to communicate or control one or more peripheral devices (e.g., a printer, card reader, etc.).

[0102] The instructions 1426 (e.g,, software, programs, an operating system (OS), etc.) or other data are stored on the storage system 1418 can be accessed by the main memory 1404 for use by the processing device 1402. The main memory 1404 (e.g., DRAM) is typically fast, but volatile, and thus a different type of storage than the storage system 1418 (e.g., an SSD), which is suitable for long-term storage, including while in an "off' condition. The instructions 1426 or data in use by a user or the machine 1400 are typically loaded in the main memory 1404 for use by the processing device 1402. When the main memory 1404 is full, virtual space from the storage system 1418 can be allocated to supplement, the main memory 1404; however, because the storage system 1418 device is typically slower than the main memory 1404, and write speeds are typically at least twice as slow as read speeds, use of virtual memory can greatly reduce user experience due to storage system latency (in contrast to the main memory 1404, e.g., DRAM). Further, use of the storage system 1418 for virtual memory can greatly reduce the usable lifespan of the storage system 1418.

[0103] The instructions 1424 may further be transmitted or received over a network 1420 using a transmission medium via the network interface device 1408 utilizing any one of a number of transfer protocols (e.g., frame relay, internet protocol (IP), transmission control protocol (TCP), user datagram protocol (UDP), hypertext transfer protocol (HTTP), etc.). Example communication networks may include a local area network (LAN), a wide area network (WAN), a packet data network (e.g., the Internet), mobile telephone networks (e.g., cellular networks), Plain Old Telephone (POTS) networks, and wireless data networks (e.g.. Institute of Electrical and Electronics Engineers (IEEE) 802.11 family of standards known as Wi- Fi ® , IEEE 802.16 family of standards known as WiMax ® ), IEEE 802.15.4 family of standards, peer-to-peer (P2P) networks, among others. In an example, the network interface device 1408 may include one or more physical jacks (e.g,, Ethernet, coaxial, or phone jacks) or one or more antennas to connect to the network 1420.

In an example, the network interface device 1408 may include multiple antennas to wirelessly communicate using at least one of single-input multiple-output (S!MO), multiple-input multiple-output (MlMO), or multiple-input single-output (MISO) techniques. The term "transmission medium" shall be taken to include any intangible medium that is capable of storing, encoding, or carrying instructions for execution by the machine 1400, and includes digital or analog communications signals or other intangible medium to facilitate communication of such software. [0104] The above detailed description includes references to the accompanying drawings, which form a part of the detailed description. The drawings show, by way of illustration, specific embodiments in which the invention can be practiced. These embodiments are also referred to herein as "examples". Such examples can include elements in addition to those shown or described. However, the present inventor also contemplates examples in which only those elements shown or described are provided. Moreover, the present inventor also contemplates examples using any combination or permutation of those elements shown or described (or one or more aspects thereof), either with respect to a particular example (or one or more aspects thereof), or with respect to other examples (or one or more aspects thereof) shown or described herein.

[0105] All publications, patents, and patent, documents referred to in this document are incorporated by reference herein in their entirety, as though individually incorporated by reference. In the event of inconsistent usages between this document and those documents so incorporated by reference, the usage in the incorporated reference(s) should be considered supplementary to that of this document; for irreconcilable inconsistencies, the usage in this document controls.

[0106] In this document, the terms "a" or "an" are used, as is common in patent documents, to include one or more than one, independent of any other instances or usages of "at least one" or "one or more." In this document, the term "or" is used to refer to a nonexclusive or, such that "A or B" includes "A but not B," "B but not A," and "A and B," unless otherwise indicated, in the appended claims, the terms "including" and "in which" are used as the plain-Engiish equivalents of the respective terms "comprising" and "wherein". Also, in the following claims, the terms "including" and "comprising" are open-ended, that is, a system, device, article, or process that includes elements in addition to those listed after such a term in a claim are still deemed to fall within the scope of that claim. Moreover, in the following claims, the terms "first," "second," and "third," etc. are used merely as labels, and are not intended to impose numerical requirements on their objects. [0107] In various examples, the components, controllers, processors, units, engines, or tables described herein can inciude, among other things, physical circuitry or firmware stored on a physical device. As used herein, "processor" means any type of computational circuit such as, but not limited to, a microprocessor, a microcontroller, a graphics processor, a digital signal processor (DSP), or any other type of processor or processing circuit, including a group of processors or multi-core devices.

[0108] The term "horizontal” as used in this document is defined as a plane parallel to the conventional plane or surface of a substrate, such as that underlying a wafer or die, regardless of the actual orientation of the substrate at any point in time. The term "vertical" refers to a direction perpendicular to the horizontal as defined above. Prepositions, such as "on," "over," and "under” are defined with respect to the conventional plane or surface being on the top or exposed surface of the substrate, regardless of the orientation of the substrate; and while "on" is intended to suggest a direct contact of one structure relative to another structure which it lies "on"(in the absence of an express indication to the contrary); the terms "over" and "under" are expressly intended to identify a relative placement of structures (or layers, features, etc.), which expressly includes--but is not limited to-direct contact between the identified structures unless specifically identified as such. Similarly, the terms "over" and "under" are not limited to horizontal orientations, as a structure may be "over" a referenced structure if it is, at some point in time, an outermost portion of the construction under discussion, even if such structure extends vertically relative to the referenced structure, rather than in a horizontal orientation.

[0109] The terms "wafer" and "substrate" are used herein to refer generally to any structure on which integrated circuits are formed, and also to such structures during various stages of integrated circuit fabrication. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the various embodiments is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled.

[0110] Various embodiments according to the present disclosure and described herein include memory utilizing a vertical structure of memory cells (e.g., NAND strings of memory cells). As used herein, directional adjectives will be taken relative a surface of a substrate upon which the memory cells are formed (i,e., a vertical structure will be taken as extending away from the substrate surface, a bottom end of the vertical structure will be taken as the end nearest the substrate surface and a top end of the vertical structure will be taken as the end farthest from the substrate surface).

[0111] As used herein, directional adjectives, such as horizontal, vertical, normal, parallel, perpendicular, etc., can refer to relative orientations, and are not intended to require strict adherence to specific geometric properties, unless otherwise noted. For example, as used herein, a vertical structure need not be strictly perpendicular to a surface of a substrate, but may instead be generally perpendicular to the surface of the substrate, and may form an acute angle with the surface of the substrate (e.g,, between 60 and 120 degrees, etc.),

[0112] In some embodiments described herein, different doping configurations may be applied to a select gate source (SGS), a control gate (CG), and a select gate drain (SGD), each of which, in this example, may be formed of or at least include polysilicon, with the result such that these tiers (e.g., polysilicon, etc.) may have different etch rates when exposed to an etching solution. For example, in a process of forming a monolithic pillar in a 3D semiconductor device, the SGS and the CG may form recesses, while the SGD may remain less recessed or even not recessed, These doping configurations may thus enable selective etching into the distinct tiers (e.g,, SGS, CG, and SGD) in the 3D semiconductor device by using an etching solution (e.g., tetramethylammonium hydroxide (TMCH)).

[0113] Operating a memory cell, as used herein, includes reading from, writing to, or erasing the memory cell. The operation of placing a memory ceil in an intended state is referred to herein as "programming," and can include both writing to or erasing from the memory cell (i.e., the memory cell may be programmed to an erased state).

[0114] According to one or more embodiments of the present disclosure, a memory controller (e.g., a processor, controller, firmware, etc.) located internal or external to a memory device, is capable of determining (e.g., selecting, setting, adjusting, computing, changing, clearing, communicating, adapting, deriving, defining, utilizing, modifying, applying, etc.) a quantity of wear cycles, or a wear state (e.g., recording wear cycles, counting operations of the memory device as they occur, tracking the operations of the memory device it initiates, evaluating the memory device characteristics corresponding to a wear state, etc.)

[0115] According to one or more embodiments of the present disclosure, a memory access device may be configured to provide wear cycle information to the memory device with each memory operation. The memory device control circuitry (e.g., control logic) may be programmed to compensate for memory device performance changes corresponding to the wear cycle information. The memory device may receive the wear cycle information and determine one or more operating parameters (e.g., a value, characteristic) in response to the wear cycle information.

[0116] It will be understood that when an element is referred to as being

"on,” "connected to” or "coupled with” another element, it. can be directly on, connected, or coupled with the other element or intervening elements may be present. In contrast, when an element is referred to as being "directly on,"

“directly connected to” or "directly coupled with” another element, there are no intervening elements or layers present. If two elements are shown in the drawings with a line connecting them, the two elements can be either be coupled, or directly coupled, unless otherwise indicated.

[0117] Method examples described herein can be machine or computer- implemented at least, in part. Some examples can include a computer-readable medium or machine-readable medium encoded with instructions operable to configure an electronic device to perform methods as described in the above examples. An implementation of such methods can include code, such as microcode, assembly language code, a higher-level language code, or the like. Such code can include computer readable instructions for performing various methods. The code may form portions of computer program products. Further, the code can be tangibly stored on one or more volatile or non-volatile tangible computer- readable media, such as during execution or at other times. Examples of these tangible computer-readable media can include, but are not limited to, hard disks, removable magnetic disks, removable optical disks (e.g., compact disks and digital video disks), magnetic cassettes, memory cards or sticks, random access memories (RAMs), read only memories (ROMs), and the like.

[0118] To better illustrate the method and apparatuses disclosed herein, a non -limiting list of embodiments is provided here:\

[0119] Example 1 is a memory system. The memory system includes a controller die coupled to a substrate, the controller die including a buffer, the buffer including a host interface and a die stack interface, wherein the host interface includes at least one channel, and the die stack interface includes two or more sub-channels. The memory system includes a stack of dies supported by the substrate and coupled to the two or more sub-channels. The memory system includes control logic reallocating the connections for the at least one channel to at least two sub-channels, and one or more neuromorphic layers logically coupled between one or more dies in the stack of dies and the host interface.

[0120] In Example 2, the subject matter of Example 1 is optionally configured such that the one or more neuromorphic layers are physically located within the controller die.

[0121] In Example 3, the subject matter of any of Examples 1-2 is optionally configured such that the buffer is configured to control data speed to the one or more neuromorphic layers.

[0122] In Example 4, the subject matter of any of Examples 1-3 is optionally configured to further include circuitry in the controller die, configured to operate the host interface at a first data speed, and to operate the die stack interface at a second data speed, slower than the first data speed.

[0123] In Example 5, the subject, matter of any of Examples 1-4 is optionally configured such that the one or more neuromorphic layers include digital neuromorphic layers.

[0124] In Example 6, the subject matter of any of Examples 1-5 is optionally configured such that, the one or more neuromorphic layers include analog multiply accumulator (MAC) neuromorphic layers.

[0125] In Example 7, the subject matter of any of Examples 1-6 is optionally configured such that the one or more neuromorphic layers include both digital and analog portions.

[0126] In Example 8, the subject matter of any of Examples 1-7 is optionally configured such that the stack of dies include DRAM dies.

[0127] in Example 9, the subject matter of any of Examples 1-8 is optionally configured such that, the one or more neuromorphic layers include phase change ceils. [0128] In Example 10, the subject matter of any of Examples 1-9 is optionally configured such that the one or more neuromorphic layers include memristor cells.

[0129] In Example 11, the subject matter of any of Examples 1-10 is optionally configured such that the substrate is a motherboard.

[0130] In Example 12, the subject matter of any of Examples 1-11 is optionally configured such that the substrate is a dual in line memory (DIMM) substrate.

[0131] In Example 13, the subject matter of any of Examples 1-12 is optionally configured such that the substrate is an intermediate substrate coupled to a motherboard.

[0132] Example 14 is a memory system. The memory system includes a controller die coupled to a substrate, the controller die including a buffer, the buffer including a host interface and a die stack interface, wherein the host interface includes at least one channel, and the die stack interface includes two or more sub-channels. The memory system includes a stack of dies supported by the substrate and coupled to the two or more sub-channels. The memory system includes control logic reallocating the connections for the at least one channel to at least two sub-channels, and one or more neuromorphic layers logically coupled between one or more dies in the stack of dies and the host interface, and logic in the controller die to program one or more neuromorphic weights into the one or more neuromorphic layers.

[0133] In Example 15, the subject matter of Example 14 is optionally configured such that the one or more neuromorphic layers are physically located within the controller die.

[0134] In Example 16, the subject matter of any of Examples 14-15 is optionally configured such that the buffer is configured to control data speed to the one or more neuromorphic layers.

[0135] In Example 17, the subject matter of any of Examples 14-16 is optionally configured to further include circuitry in the controller die, configured to operate the host interface at a first data speed, and to operate the die stack interface at a second data speed, slower than the first data speed.

[0136] In Example 18, the subject matter of any of Examples 14-17 is optionally configured such that the one or more neuromorphic layers includes multiple layers in a single die,

[0137] in Example 19, the subject matter of any of Examples 14-18 is optionally configured such that the one or more neuromorphic layers includes multiple layers in multiple dies.

[0138] In Example 20, the subject matter of any of Examples 14-19 Is optionally configured such that the stack of dies supported by the substrate include one or more wirebond connections to the substrate.

[0139] In Example 21, the subject matter of any of Examples 14-20 is optionally configured such that, the stack of dies supported by the substrate Include one or more through silicon via (TSV) connections to the substrate.

[0140] In Example 22, the subject matter of any of Examples 14-21 is optionally configured such that the one or more neuromorphic layers includes from 30 to 100 neuromorphic layers.

[0141] In Example 23, the subject matter of any of Examples 14-22 is optionally configured such that multiple die stacks are included on a dual in line memory (DIMM) substrate.

[0142] In Example 24, the subject matter of any of Examples 14-23 is optionally configured such that each die stack in the multiple die stacks is associated with a separate controller die.

[0143] Example 25 is a method. The method includes training a neuromorphic device that is located in a memory device, transferring data from one or more dies in a die stack in the memory system to the neuromorphic device at a first data speed, locally processing the data from the die stack using the neuromorphic device, and transferring the processed data to a host device through a buffer located in the memory system wherein the buffer is configured to provide a second data speed faster than the first data speed. [0144] In Example 26, the subject matter of Example 25 is optionally configured such that transferring data from one or more dies in a die stack in the memory system to the neuromorphic device includes transferring data from one or more dies to a neuromorphic device located within the die stack.

[0145] In Example 27, the subject matter of any of Examples 25-26 is optionally configured such that transferring data from one or more dies in a die stack in the memory system to the neuromorphic device includes transferring data from the one or more dies to a controller die that includes both the neuromorphic device and the buffer.

[0146] The above description is intended to be illustrative, and not restrictive. For example, the above-described examples (or one or more aspects thereof) may be used in combination with each other. Other embodiments can be used, such as by one of ordinary skill in the art upon reviewing the above description. The Abstract is provided to comply with 37 C.F.R. §1.72(b), to allow the reader to quickly ascertain the nature of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. Also, in the above Detailed Description, various features may be grouped together to streamline the disclosure. This should not be interpreted as intending that an unclaimed disclosed feature is essential to any claim. Rather, inventive subject matter may lie in less than all features of a particular disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment, and it is contemplated that such embodiments can be combined with each other in various combinations or permutations. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.