BARNES JEFFREY (US)
SONTHALIA PRERNA (US)
COOPER EMANUEL (US)
BOGGS KARL (US)
ZHANG PENG (US)
BARNES JEFFREY (US)
SONTHALIA PRERNA (US)
COOPER EMANUEL (US)
BOGGS KARL (US)
THE CLAIMS
What is claimed is:
1. A cleaning composition comprising at least one solvent, at least one corrosion inhibitor, and at least one amine, wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon.
2. The cleaning composition of claim 1, wherein the corrosion inhibitor comprises at least one species selected from the group consisting of squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, glucuronic acid, quercitin/anthocyanins, and combinations thereof.
3. The cleaning composition of claim 1, wherein the corrosion inhibitor comprises at least one species selected from the group consisting of adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, isoguanine, and derivatives thereof.
4. The cleaning composition of claims 1, 2 or 3, wherein the cleaning composition further comprises at least one additional component selected from the group consisting of: at least one quaternary base; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; uric acid; at least one alcohol; and combinations thereof.
5. The cleaning composition of claims 1, 2 or 3, wherein the cleaning composition further comprises at least one embodiment (i) through (viii):
(i) at least one quaternary base, at least one organic amine, and optionally at least one reducing agent;
(ii) at least one quaternary base, at least one organic amine, and at least one complexing agent;
(iii) at least one amine;
(iv) at least one amine, at least one surfactant, and optionally at least one reducing agent;
(v) at least one amine, at least one reducing agent, optionally at least one surfactant, and optionally at least one quaternary base;
(vi) at least one amine, at least one quaternary base, at least one reducing agent, and optionally at least one surfactant;
(vii) at least one quaternary base, at least one alkanolamine, and uric acid; and
(viii) at least one quaternary base, at least one alkanolamine, uric acid, and at least one alcohol.
6. The cleaning composition of claims 1, 2 or 3, wherein the solvent comprises water.
7. The cleaning composition of claims 1, 2 or 3, further comprising residue and contaminants.
8. The cleaning composition of claims 1, 2 or 3, wherein the residue comprises post-CMP residue, post-etch residue, post-ash residue, or combinations thereof.
9. The cleaning composition of claim 8, wherein the post-CMP residue comprises material selected from the group consisting of particles from a CMP polishing slurry, chemicals present in the CMP polishing slurry, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and combinations thereof.
10. The cleaning composition of claims 1, 2 or 3, wherein the composition is diluted in a range from about 5: 1 to about 200: 1.
11. The cleaning composition of claims 1, 2 or 3, wherein the composition is substantially devoid of oxidizing agent, fluoride source, and/or abrasive material prior to removal of residue material from the microelectronic device.
12. The cleaning composition of claims 1, 2 or 3, further comprising at least one additional corrosion inhibitor, wherein the at least one additional corrosion inhibitor comprises a species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzotriazole, citric acid, ethylenediamine, gallic acid, oxalic acid, tannic acid, ethylenediaminetetraacetic acid (EDTA), uric acid, 1 ,2,4-triazole (TAZ), tolyltriazole, 5-phenyl- benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto- 1 ,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1 -amino- 1,2,3-triazole, l-amino-5- methyl- 1,2,3-triazole, 3-amino-l,2,4-triazole, 3-mercapto-l,2,4-triazole, 3-isopropyl- 1,2,4- triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, Cl, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBl), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2- mercaptothiazoline, 5-aminotetrazole, 5-amino-l,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl- 1,3,5-triazine, thiazole, triazine, methyltetrazole, l,3-dimethyl-2-imidazolidinone, 1,5- pentamethylenetetrazole, l-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H- 1 ,2,4-triazole-3 -thiol, 5-amino- 1 ,3,4-thiadiazole-2- thiol, benzothiazole, tritolyl phosphate, imidazole, indiazole, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, alpha-keto acids such as pyruvic acid, adenine, purine, phosphonic acid and derivatives thereof, glycine/ascorbic acid, and combinations thereof.
13. The cleaning composition of claims 1, 2 or 3, wherein the at least one amine has the general formula NR 1 R 2 R 3 , where R 1 , R 2 and R 3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained C 1 -C 6 alkyl, branched Ci-Cβ alkyl, straight-chained C]-C 6 alcohol, and branched C]-C 6 alcohol;
14. The cleaning composition of claim 4, wherein the at least one quaternary base has the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained C]-C 6 alkyl, branched C]-C 6 alkyl, substituted C 6 -C] 0 aryl, and unsubstituted C 6 -C]Q aryl; wherein the at least one reducing agent comprises species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, gallic acid, glyoxal, and combinations thereof;
wherein the at least one alcohol comprises straight-chained or branched C,-C 6 alcohols;
wherein the at least one surfactant comprises an amphoteric salt, a cationic surfactant, an anionic surfactant, a fluoroalkyl surfactant, a non-ionic surfactant, and combinations thereof;
wherein the dispersing agent comprises organic polymers containing acrylic acid or its salts having an average molecular weight of less than 15,000;
wherein the sulfonic acid-containing hydrocarbons comprise straight chain Ci-Ce alkane sulfonic acids, branched Q-C 6 alkane sulfonic acids, straight chain C 2 -C 6 alkene sulfonic acids, branched C 2 -C 6 alkene sulfonic acids, substituted C 6 -Ci 4 aryl sulfonic acids, unsubstituted C 6 -Cu aryl sulfonic acids, salts thereof, and combinations thereof; and
wherein the complexing agents comprise a species selected from the group consisting of acetic acid, acetone oxime, acrylic acid, adipic acid, alanine, arginine, asparagine, aspartic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutamic acid, glutamine, glutaric acid, glyceric acid, glycerol, glycolic acid, glyoxylic acid, histidine, iminodiacetic acid, isophthalic acid, itaconic acid, lactic acid, leucine, lysine, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phenylalanine, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, serine, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, valine, xylitol, salts and derivatives thereof, and combinations thereof.
15. A kit comprising, in one or more containers, one or more of the following reagents for forming a cleaning composition, said one or more reagents selected from the group consisting of: at least one corrosion inhibitor; at least one quaternary base; at least one organic amine; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; at least one alkanolamine; uric acid; at least one alcohol; and combinations thereof, and wherein the kit is adapted to form the composition of claims 1, 2 or 3.
16. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the cleaning composition includes at least one solvent, at least one corrosion inhibitor, and at least one amine, wherein the corrosion inhibitor comprises a species selected from the group consisting of cyanuric acid, barbituric acid and derivatives thereof, glucuronic acid, squaric acid, alpha-keto acids, adenosine and derivatives thereof, purine compounds and derivatives thereof, phosphonic acid derivatives, phenanthroline/ascorbic acid, glycine/ascorbic acid, nicotinamide and derivatives thereof, flavonols and derivatives thereof, anthocyanins and derivatives thereof, flavonol/anthocyanin, and combinations thereof.
17. The method of claim 16, wherein the corrosion inhibitor comprises at least one species selected from the group consisting of adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, isoguanine, squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, glucuronic acid, quercitin/anthocyanins, and combinations thereof.
18. The method of claim 16, wherein the residue comprises post-CMP residue, post-etch residue, post-ash residue, or combinations thereof.
19. The method of claim 18, wherein said post-CMP residue comprises material selected from the group consisting of particles from a CMP polishing slurry, chemicals present in the CMP polishing slurry, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and combinations thereof.
20. The method of claim 16, wherein said contacting comprises conditions selected from the group consisting of: time of from about 15 seconds to about 5 minutes; temperature in a range of from about 2O 0 C to about 5O 0 C; and combinations thereof.
21. The method of claim 16, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
23. The method of claim 16, further comprising diluting the cleaning composition with solvent at or before a point of use.
24. The method of claim 23, wherein said solvent comprises water.
25. The method of claim 16, wherein the microelectronic device comprises copper- containing material.
26. The method of claim 16, further comprising rinsing the microelectronic device with deionized water following contact with the cleaning composition.
27. A cleaning composition comprising at least one solvent, at least one surfactant, at least one dispersing agent, at least one sulfonic-acid containing hydrocarbon, and at least one corrosion inhibitor, wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon. |
NEW ANTIOXIDANTS FOR POST-CMP CLEANING FORMULATIONS
FIELD
[0001] The present invention relates generally to compositions including antioxidants for cleaning residue and/or contaminants from microelectronic devices having same thereon.
DESCRIPTION OF THE RELATED ART
[0002] Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi-conductive properties.
[0003] In order to obtain the correct patterning, excess material used in forming the layers on the substrate must be removed. Further, to fabricate functional and reliable circuitry, it is important to prepare a flat or planar microelectronic wafer surface prior to subsequent processing. Thus, it is necessary to remove and/or polish certain surfaces of a microelectronic device wafer. [0004] Chemical Mechanical Polishing or Planarization ("CMP") is a process in which material is removed from a surface of a microelectronic device wafer, and the surface is polished (more specifically, planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying slurry, e.g., a solution of an abrasive and an active chemistry, to a polishing pad that buffs the surface of a microelectronic device wafer to achieve the removal, planarization, and polishing processes. It is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast, uniform removal. In the fabrication of integrated circuits, the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other
materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.
[0005] Recently, copper has been increasingly used for metal interconnects in integrated circuits. In copper damascene processes commonly used for metallization of circuitry in microelectronic device fabrication, the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 μm and copper seed layers having a thickness of about 0.05-0.15 μm. These copper layers are separated from the dielectric material surface by a layer of barrier material, typically about 50-300 A thick, which prevents diffusion of copper into the oxide dielectric material. One key to obtaining good uniformity across the wafer surface after polishing is to use a CMP slurry that has the correct removal selectivities for each material. [0006] The foregoing processing operations, involving wafer substrate surface preparation, deposition, plating, etching and chemical mechanical polishing, variously require cleaning operations to ensure that the microelectronic device product is free of contaminants that would otherwise deleteriously affect the function of the product, or even render it useless for its intended function. Often, particles of these contaminants are smaller than 0.3 μm.
[0007] One particular issue in this respect is the residues that are left on the microelectronic device substrate following CMP processing. Such residues include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post-CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.
[0008] Another residue-producing process common to microelectronic device manufacturing involves gas-phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop
layers. Post-gas phase plasma etch residues, which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures. [0009] The microelectronics industry therefore continues to seek improvement in cleaning formulations for copper-metallized substrates, and in compositions for processing of microelectronic device structures, including compositions variously useful for post-etching cleaning, post-ashing cleaning and post-chemical mechanical polishing cleaning of microelectronic device wafers.
SUMMARY
[0010] The present invention generally relates to a composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon. The cleaning compositions of the invention include at least one novel antioxidant as a corrosion inhibitor. The residue may include post-CMP, post-etch, or post-ash residue. [0011] In one aspect, a cleaning composition comprising at least one solvent, at least one corrosion inhibitor, and at least one amine is described, wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon. The cleaning composition may further comprise at least one additional component selected from the group consisting of: at least one quaternary base; at least
one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; uric acid; at least one alcohol; and combinations thereof.
[0012] In another aspect, a cleaning composition comprising at least one solvent, at least one surfactant, at least one dispersing agent, at least one sulfonic-acid containing hydrocarbon, and at least one corrosion inhibitor is described, wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon.
[0013] In another aspect, the invention relates to a kit comprising, in one or more containers, one or more of the following reagents for forming a cleaning composition, said one or more reagents selected from the group consisting of: at least one corrosion inhibitor; at least one quaternary base; at least one organic amine; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; at least one alkanolamine; uric acid; at least one alcohol; and combinations thereof. The at least one corrosion inhibitor preferably comprises at least one species selected from the group consisting of squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, fiavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, glucuronic acid, quercitin/anthocyanins, and combinations thereof. [0014] In yet another aspect, a method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon is described, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time
to at least partially clean said residue and contaminants from the microelectronic device, wherein the cleaning composition includes at least one solvent, at least one corrosion inhibitor and at least one amine, wherein the corrosion inhibitor comprises a species selected from the group consisting of consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. The cleaning composition may further comprise at least one additional component selected from the group consisting of: at least one quaternary base; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; uric acid; at least one alcohol; and combinations thereof.
[0015] Another aspect relates to a method of identifying an endpoint of a cleaning composition, said method comprising: contacting a microelectronic device having residue thereon with a cleaning composition, wherein the cleaning composition includes at least one antioxidant (i.e., corrosion inhibitor), wherein the antioxidant is in a first state, signifying that the cleaning composition is useful to substantially remove said residue from the microelectronic device; and monitoring the cleaning composition, wherein a transition of the antioxidant to a second state signifies an endpoint of the cleaning composition, wherein the first state of the antioxidant may be colorless or a first color in the visible spectrum, the second state of the of the antioxidant may be colorless or a second color in the visible spectrum, and the first state and the second state are not the same.
[0016] In another aspect, a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon is described, said method comprising:
polishing the microelectronic device with a CMP slurry;
contacting the microelectronic device with a cleaning composition comprising at least one corrosion inhibitor, for a sufficient time to remove post-CMP residue and contaminants from the microelectronic device to form a post-CMP residue-containing composition; and
continuously contacting the microelectronic device with the post-CMP residue-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof.
[0017] In a further aspect, a method of manufacturing a microelectronic device is described, said method comprising contacting the microelectronic device with a cleaning composition described herein for sufficient time to at least partially clean post-CMP residue, post-etch residue, post-ash residue and/or contaminants from the microelectronic device having said residue and contaminants thereon.
[0018] Yet another aspect relates to improved microelectronic devices, and products incorporating same, made using the methods described herein comprising cleaning of post-CMP residue, post-etch residue, post-ash residue and/or contaminants from the microelectronic device
having said residue and contaminants thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product. [0019] Another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises at least one solvent, at least one corrosion inhibitor and at least one amine, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, and wherein the residue comprises at least one of post-CMP residue, post-etch residue and post-ash residue.
[0020] Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
[0021] The present invention relates generally to compositions useful for the removal of residue and contaminants from a microelectronic device having such material(s) thereon. The compositions are particularly useful for the removal of post-CMP, post-etch or post-ash residue. [0022] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. Solar substrates include, but
are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The solar substrates may be doped or undoped. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
[0023] As used herein, "residue" corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.
[0024] As used herein, "contaminants" correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the byproducts of the CMP process, the wet etching, the plasma etching or the plasma ashing process. [0025] As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the by-products of the CMP process.
[0026] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
[0027] As defined herein, "complexing agent" includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions of the present invention.
[0028] As defined herein, the term "barrier material" corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and suicides. [0029] As defined herein, "post-etch residue" corresponds to material remaining following gas- phase plasma etching processes, e.g., BEOL dual damascene processing, or wet etching processes. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
[0030] As defined herein, "post-ash residue," as used herein, corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
[0031] "Substantially devoid" is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. [0032] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0033] As used herein, "suitability" for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post- cleaning analysis may be carried out using an atomic force microscope. The particles on the
sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:
„, . „„ (Number of PreClean Objects - Number of PostClean Objects) , „_
Cleaning Efficacy = x 100
Number of PreClean Objects
Notably, the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same. Alternatively, the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter. For example, AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest. One skilled in the art would readily understand that the less area covered by said areas of interest post-cleaning, the more efficacious the cleaning composition. Preferably, at least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.
[0034] Compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0035] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
[0036] The cleaning compositions include at least one antioxidant component (i.e., "corrosion inhibitor") where the antioxidant component is added to the cleaning composition to lower the corrosion rate of metals, e.g., copper, aluminum, as well as enhance the cleaning performance.
Antioxidants (i.e., corrosion inhibitors) contemplated include, but are not limited to: cyanuric acid; barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. For example, the flavonols may include quercitin and derivatives thereof such as quercetin glucosides, quercitrin (quercetinrhamnoside) and rutin (quercetin rutinoside). The combination of anthocyanins and flavonols increases the solubility of flavonols in water. Particularly preferred antioxidants include purine compounds, squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, and glucuronic acid.
[0037] In one aspect, a cleaning composition is described, wherein said cleaning composition comprises at least one solvent and at least one antioxidant (i.e., corrosion inhibitor) selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2- dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. Preferably the solvent comprises water, preferably deionized water.
[0038] In a further aspect the cleaning composition comprises a composition selected from the group consisting of (i) - (ix), wherein the antioxidant (i.e., corrosion inhibitor) is selected from
the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2- dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof,
• (i) a composition comprising at least one quaternary base, at least one organic amine, at least one antioxidant, water, and optionally at least one reducing agent;
• (ii) a composition comprising at least one quaternary base, at least one organic amine, at least one antioxidant, at least one complexing agent, and water;
• (iii) a composition comprising at least one amine, at least one antioxidant and water;
• (iv) a composition comprising at least one amine, at least one antioxidant, at least one surfactant, water, and optionally at least one reducing agent;
• (v) a composition comprising at least one amine, at least one antioxidant, at least one reducing agent, water, optionally at least one surfactant, and optionally at least one quaternary base;
• (vi) a composition comprising at least one amine, at least one antioxidant, at least one quaternary base, at least one reducing agent, water, and optionally at least one surfactant;
• (vii) a composition comprising at least one quaternary base, at least one alkanolamine, uric acid, water, and at least one antioxidant;
• (viii) a composition comprising at least one quaternary base, at least one alkanolamine, uric acid, at least one alcohol, water, and at least one antioxidant; and
• (ix) a composition comprising at least one surfactant, at least one dispersing agent, at least one sulfonic-acid containing hydrocarbon, water, and at least one antioxidant;
Particularly preferred antioxidants include purine compounds, squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, and glucuronic acid.
[0039] In a particularly preferred embodiment, the cleaning composition comprises at least one quaternary base, at least one organic amine, at least one antioxidant, and water, wherein the antioxidant (i.e., corrosion inhibitor) is selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. The cleaning composition may optionally further comprise at least one reducing agent, at least one complexing agent, at least one surfactant, residue material, or combinations thereof.
[0040] The cleaning composition is particularly useful for cleaning residue and contaminants, e.g., post-CMP residue, post-etch residue, post-ash residue, and contaminants from a microelectronic device structure. Regardless of the embodiment, the cleaning compositions are
preferably substantially devoid of oxidizing agent, fluoride source, and abrasive material prior to removal of residue material from the microelectronic device. Importantly, when the cleaning composition includes glucuronic acid, the pH of the composition should be greater than or equal to 6.
[0041] In a further aspect of the invention, the cleaning composition may comprise, consist of, or consist essentially of a cleaning composition selected from the group consisting of (i) - (ix), wherein the at least one antioxidant (i.e., corrosion inhibitor) comprises a species selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2- dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. Particularly preferred antioxidants include purine compounds, squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids; anthocyanins; flavonol/anthocyanins; quercitin and derivatives thereof; and glucuronic acid.
[0042] The cleaning compositions may further include additional corrosion inhibitors, in addition to the antioxidants enumerated above, including, but not limited to, ascorbic acid, L(+)- ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzotriazole, citric acid, ethylenediamine, gallic acid, oxalic acid, tannic acid, ethylenediaminetetraacetic acid (EDTA), uric acid, 1,2,4-triazole (TAZ), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3- amino-5-mercapto-l,2,4-triazole, 1 -amino- 1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino- pentyl)-benzotriazole, l-amino-l,2,3-triazole, l-amino-5-methyl-l,2,3-triazole, 3-amino- 1,2,4- triazole, 3-mercapto- 1,2,4-triazole, 3-isopropyl-l,2,4-triazole, 5-phenylthiol-benzotriazole, halo-
benzotriazoles (halo = F, Cl, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2- mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, 5- amino-l,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-l,3,5-triazine, thiazole, triazine, methyltetrazole, l,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, l-phenyl-5- mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl- 4H-l,2,4-triazole-3-thiol, 5-amino-l,3,4-thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, imidazole, indiazole, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1 ,2-dimethylbarbituric acid, alpha-keto acids such as pyruvic acid, adenine, purine, phosphonic acid and derivatives thereof, glycine/ascorbic acid, and combinations thereof. For example, the cleaning compositions may include the combination of phenanthroline and ascorbic acid or glycine and ascorbic acid. [0043] Illustrative amines that may be useful in specific compositions include species having the general formula NR 1 R 2 R 3 , wherein R 1 , R 2 and R 3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched C 1 - C 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) and straight-chained or branched CpC 6 alcohol (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol). Most preferably, at least one of R 1 , R 2 and R 3 is a straight-chained or branched C r C 6 alcohol. Examples include, without limitation, aminoethylethanolamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, monoethanolamine, triethanolamine, 1 -amino-2-propanol, 2-amino-l -butanol, isobutanolamine, triethylenediamine, other Ci - Cg alkanolamines and combinations thereof. [0044] Quaternary bases contemplated herein include compounds having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-C 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C 6 -C] 0 aryl, e.g., benzyl. Tetraalkylammonium hydroxides that are commercially available include
tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof, may be used. Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH, TBAH, TBMAH, and BTMAH, which are known to one ordinary of skill in the art. Another widely used quaternary ammonium base is choline hydroxide.
[0045] Reducing agent(s) contemplated herein include species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, gallic acid, glyoxal, and combinations thereof.
[0046] Illustrative alcohols include straight-chained or branched Ci-C 6 alcohols (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol), diols and triols. Preferably, the alcohol comprises isopropanol (IPA).
[0047] Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, non- ionic surfactants, and combinations thereof including, but not limited to, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-l- propanesulfonic acid salt, dodecylbenzenesulfonic acid, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, Ri benzene sulfonic acids or salts thereof (where the R 1 is a straight-chained or branched C 8 -C] 8 alkyl group), amphiphilic fluoropolymers, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as
combinations comprising at least one of the foregoing surfactants, sodium dodecyl sulfate, zwitterionic surfactants, aerosol-OT (AOT) and fluorinated analogues thereof, alkyl ammonium, perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate-based surfactants, sulfur-based surfactants, and acetoacetate-based polymers. In a preferred embodiment, the surfactant includes an alkyl benzene sulfonic acid, more preferably dodecylbenzenesulfonic acid. [0048] The dispersing agent, when used in the compositions described herein, is included to increase dispersancy and minimize redeposition of the removed residue and contaminants at the surface of the microelectronic device wafer. Dispersing agents contemplated herein include organic polymers containing acrylic acid or salts thereof having an average molecular weight of less than 15,000, hereinafter referred to as low molecular weight acrylic acid-containing polymer. The low molecular weight acrylic acid-containing polymer has an average molecular weight of less than 15,000, preferably from about 3,000 to about 10,000. The low molecular weight acrylic acid-containing polymer may be either a homopolymer or a copolymer including the essential acrylic acid or acrylic acid salt monomer units. Copolymers may include essentially any suitable other monomer units including modified acrylic, fumaric, maleic, itaconic, aconitic, mesaconic, citraconic, and methylenemalonic acid or their salts, maleic anhydride, alkylene, vinylmethyl ether, styrene and any mixtures thereof. Preferred commercially available low molecular weight acrylic acid containing homopolymers include those sold under the tradename Acusol 445 (Rohm and Haas, Philadelphia, PA, USA).
[0049] The sulfonic acid-containing hydrocarbons contemplated herein include straight chain and branched C 1 -C 6 alkane, e.g., methane, ethane, propane, butane, pentane, hexane, sulfonic acids, straight chain and branched C 2 -C 6 alkene, e.g., ethane, propene, butane, pentene, hexane, sulfonic acids, and substituted or unsubstituted C6-C 14 aryl sulfonic acids, and salts thereof, e.g., sodium, potassium, etc.. Sulfonic acid-containing hydrocarbons include methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid, pentanesulfonic acid, hexanesulfonic acid, ethenesulfonic acid, toluenesulfonic acid, and combinations thereof.
[0050] The optional complexing agents contemplated herein include, but are not limited to, acetic acid, acetone oxime, acrylic acid, adipic acid, alanine, arginine, asparagine, aspartic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutamic acid, glutamine, glutaric acid, glyceric acid, glycerol, glycolic acid, glyoxylic acid, histidine, iminodiacetic acid, isophthalic acid, itaconic acid, lactic acid, leucine, lysine, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phenylalanine, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, serine, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, valine, xylitol, salts and derivatives thereof, and combinations thereof.
[0051] With regards to compositional amounts, the weight percent ratios of each component in each embodiment described herein is as follows: embodiment (i): about 0.1 : 1 to about 10: 1 quaternary base to corrosion inhibitor, preferably about 0.5: 1 to about 5: 1, and even more preferably about 1:1 to about 2: 1; about 0.1:1 to about 10:1 organic amine to corrosion inhibitor, preferably about 0.5:1 to about 5: 1, and even more preferably about 2: 1 to about 3: 1 ; embodiment (ii): about 1 : 1 to about 5: 1 quaternary base to complexing agent, preferably about 2: 1 to about 3.5:1; about 1 : 1 to about 10: 1 organic amine to complexing agent, preferably about 3: 1 to about 7: 1; about 0.001 : 1 to about 0.5: 1 corrosion inhibitor to complexing agent, preferably about 0.01 :1 to about 0.1:1; embodiment (iii): about 0.1 : 1 to about 10: 1 organic amine to corrosion inhibitor, preferably about 1 : 1 to about 3: 1 ; embodiment (iv): about 0.1 : 1 to about 10: 1 organic amine to corrosion inhibitor, preferably about 1 :1 to about 3: 1; about 0.001 : 1 to about 0.5:1 surfactant to corrosion inhibitor, preferably about 0.01:1 to about 0.1 :1;
embodiment (v): about 0.1:1 to about 15:1 organic amine to corrosion inhibitor, preferably about 1:1 to about 10:1; about 0.1 to about 10:1 reducing agent to corrosion inhibitor, preferably about 1 : 1 to about 8:1; embodiment (vi): about 1:1 to about 10:1 organic amine to corrosion inhibitor, preferably about 2:1 to about 7:1; about 0.5:1 to about 8:1 quaternary base to corrosion inhibitor, preferably about 1:1 to about 4:1; about 0.1:1 to about 6:1 reducing agent to corrosion inhibitor, preferably about 0.5:1 to about 3:1; about 0.001:1 to about 0.1:1 surfactant (when present) to corrosion inhibitor; embodiment (vii): about 1:1 to about 10:1 organic amine to corrosion inhibitor, preferably about 2:1 to about 7:1; about 0.5:1 to about 8:1 quaternary base to corrosion inhibitor, preferably about 1:1 to about 4:1; about 0.1:1 to about 6:1 reducing agent to corrosion inhibitor, preferably about 0.5:1 to about 3:1; embodiment (viii): about 1:1 to about 10:1 organic amine to corrosion inhibitor, preferably about 2:1 to about 7:1; about 0.5:1 to about 8:1 quaternary base to corrosion inhibitor, preferably about 1 : 1 to about 4: 1 ; about 0.1:1 to about 6: 1 uric acid to corrosion inhibitor, preferably about 0.5:1 to about 3:1; about 0.5:1 to about 8:1 alcohol to corrosion inhibitor, preferably about 1:1 to about 4:1; embodiment (ix): about 10:1 to about 100:1 corrosion inhibitor to surfactant, preferably about 30:1 to about 70:1; about 0.01:1 to about 5:1 dispersing agent to surfactant, preferably about 0.05:1 to about 1:1; about 1:1 to about 10:1 sulfonic acid-containing hydrocarbon to surfactant, preferably about 3: 1 to about 7:1.
[0052] The range of weight percent ratios of the components will cover all possible concentrated or diluted embodiments of the composition. Towards that end, in one embodiment, a concentrated cleaning composition is provided that can be diluted for use as a cleaning solution. A concentrated composition, or "concentrate," advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and pH at the point of use. Dilution of
the concentrated cleaning composition may be in a range from about 1:1 to about 2500:1, preferably about 5:1 to about 200:1, wherein the cleaning composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.
[0053] The compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post-CMP residue removal.
[0054] In yet another preferred embodiment, the cleaning compositions described herein further include residue and/or contaminants. Importantly, the residue and contaminants may be dissolved and/or suspended in the compositions. Preferably, the residue includes post-CMP residue, post- etch residue, post-ash residue, contaminants, or combinations thereof.
[0055] The cleaning compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. [0056] Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. The kit may include, in one or more containers, at least one corrosion inhibitor, any of the components in the embodiments introduced herein, and optionally at least one additional corrosion inhibitor, for combining with
additional solvent, e.g., water, at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool. [0057] Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
[0058] Regarding the containers for the kits of the invention, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Patent No. 7,188,644 entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;" U.S. Patent
No. 6,698,619 entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;" U.S. Patent Application No. 60/916,966 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2007 in the name of John E.Q. Hughes, and PCT/US08/63276 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2008 in the name of Advanced Technology Materials, Inc. [0059] As applied to microelectronic manufacturing operations, the cleaning compositions described herein are usefully employed to clean post-CMP residue and/or contaminants from the surface of the microelectronic device. Importantly, the cleaning compositions do not damage low-k dielectric materials or corrode metal interconnects on the device surface. Preferably the cleaning compositions remove at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
[0060] In post-CMP residue and contaminant cleaning application, the cleaning composition may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion ™/Reflexion LK™, and Megasonic batch wet bench systems. [0061] In use of the compositions described herein for cleaning post-CMP residue, post-etch residue, post-ash residue and/or contaminants from microelectronic devices having same thereon, the cleaning composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 15 sec to about 5 min at temperature in a range of from about 20 0 C to about 9O 0 C, preferably about 2O 0 C to about 5O 0 C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post- CMP residue/contaminants from the device, within the broad practice of the method. "At least
partially clean" and "substantial removal" both correspond to at removal of at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
[0062] Following the achievement of the desired cleaning action, the cleaning composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein. Preferably, the rinse solution includes deionized water. Thereafter, the device may be dried using nitrogen or a spin-dry cycle.
[0063] Advantageously, some of the antioxidants introduced herein undergo visible color changes as they are consumed, which provides a way for the user to monitor the efficacy of the cleaning composition bath. Monitoring means include, but are not limited to, visual and spectrophotometric means. As defined herein, an "endpoint" corresponds to a range whereby the cleaning composition is no longer efficiently and productively removing the materials to be removed from the microelectronic device, e.g., post-CMP residue. The endpoint can be the result of many different factors including, but not limited to, a saturated (e.g., loaded) cleaning composition, and/or the exhaustion of one or more components of the cleaning composition. [0064] Accordingly, another aspect includes a method of identifying an endpoint of a cleaning composition, said method comprising: contacting a microelectronic device having residue thereon with a cleaning composition, wherein the cleaning composition includes at least one antioxidant (i.e., corrosion inhibitor), wherein the antioxidant is in a first state, signifying that the cleaning composition is useful to substantially remove said residue from the microelectronic device; and monitoring the cleaning composition, wherein a transition of the antioxidant to a second state signifies an endpoint of the cleaning composition.
It is to be appreciated by one skilled in the art that the first state of the antioxidant may be colorless or a first color in the visible spectrum, the second state of the of the antioxidant may be colorless or a second color in the visible spectrum, and the first state and the second state are not the same.
[0065] Yet another aspect relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
[0066] Another aspect relates to a recycled cleaning composition, wherein the cleaning composition may be recycled until residue and/or contaminant loading reaches the maximum amount the cleaning composition may accommodate, as readily determined by one skilled in the art.
[0067] A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, using a cleaning composition described herein.
[0068] The features and advantages are more fully shown by the illustrative examples discussed below.
Example 1
[0069] Blanketed PVD copper wafers were immersed in solutions including a basic solution comprising TMAH, l-amino-2-propanol, and different antioxidants and the corrosion rate of copper determined using a potentiostat where the PVD Cu is the working electrode, Pt mesh is the counter electrode, and an Ag/AgCl electrode is the reference electrode. The copper anodic corrosion rates were calculated at anodic voltage biases from 0.1 to 1.0 V versus open circuit potentials. The results are summarized in Table 1 below.
Antioxidant Solution Corrosion
[0070] It can be seen that adenosine significantly reduced the corrosion rate of copper. Additional advantages include, but are not limited to, minimization of copper roughness and the stabilization of the copper (I) oxide surface subsequent to residue removal.
[0071] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.