Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ORGANOMETALLIC COMPOUNDS AND METHODS FOR THE DEPOSITION OF HIGH PURITY TIN OXIDE
Document Type and Number:
WIPO Patent Application WO/2019/023797
Kind Code:
A1
Abstract:
Disclosed herein are compounds useful for the deposition of high purity tin oxide. Also disclose are methods for the deposition of tin oxide films using such compounds. Such films demonstrate high conformality, high etch selectivity and are optically transparent. Such compounds are those of the Formula as follows R x -Sn-A 4-x wherein: A is selected from the group consisting of (Y a R' z ) and a 3- to 7-membered N- containing heterocyclic group; each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms; each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms; x is an integer from 0 to 4; a is an integer from 0 to 1; Y is selected from the group consisting of N, O, S, and P; and z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P.

Inventors:
ODEDRA RAJESH (CA)
DONG CUNHAI (CA)
FABULYAK DIANA (CA)
GRAFF WESLEY (SG)
Application Number:
PCT/CA2018/050933
Publication Date:
February 07, 2019
Filing Date:
July 31, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SEASTAR CHEMICALS INC (CA)
International Classes:
C07F7/22; C23C16/40; C23F1/02
Domestic Patent References:
WO2016065120A12016-04-28
Foreign References:
US20170102612A12017-04-13
CA1312700C1993-01-12
US4108990A1978-08-22
US9085594B22015-07-21
US20070117994A12007-05-24
CA2920646A12017-08-12
Other References:
JONES, K. ET AL.: "Amino derivatives of metals and metalloids. Part I. Preparation of aminostannanes, stannylamines, and stannazanes", JOURNAL OF THE CHEMICAL SOCIETY, 1 March 1965 (1965-03-01), pages 1944 - 1951, XP000907370
JONES, K. ET AL.: "Aminostannanes, Stannylamines, and Stannazanes", PROCEEDINGS OF THE CHEMICAL SOCIETY, 1 November 1962 (1962-11-01), London, pages 358 - 359, XP055575316, Retrieved from the Internet
MOLONEY, M. G: "Science of Synthesis, 5", vol. 5, 1 January 2003, THIEME, article B. JOUSSEAUME: "Organostannylamines and Related Compounds", pages: 401 - 408
HARRYPERSAD, S. ET AL.: "Alternating polystannanes: Syntheses and properties", CHEMICAL COMMUNICATIONS, vol. 51, no. 33, 1 January 2015 (2015-01-01), pages 7120 - 7123, XP055572751
Attorney, Agent or Firm:
THOMPSON, Douglas et al. (CA)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. An organometallic compound of Formula 1 :

Rx-Sn-A4 x Formula I

wherein:

A is selected from the group consisting of (YaR'z) and a 3- to 7-membered N- containing heterocyclic group;

each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms;

each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms;

x is an integer from 0 to 4;

a is an integer from 0 to 1 ;

Y is selected from the group consisting of N, O, S, and P; and

z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P.

2. The organometallic compound of claim 1, wherein A is selected from the group consisting of an (NR'2) group and a 3- to 7-membered N-containing heterocyclic group.

3. The organometallic compound of claim 2, wherein A is an (NR'2) group.

4. The organometallic compound of claim 2, wherein A is a 3- to 7-membered N- containing heterocyclic group.

5. The organometallic compound of claim 8, wherein A is a pyrrolidinyl group.

6. The organometallic compound of claim 1, wherein A4 is (NMe2)2 or (NEtMe)2.

7. The organometallic compound of any one of the preceding claims, wherein each R and R' group is an independently selected alkyl group having from 1 to 10 carbon atoms.

8. The organometallic compound of claim 4, wherein each R and R' group is an independently selected alkyl group having from 1 to 6 carbon atoms.

9. The organometallic compound of claim 5, wherein each R and R' group is an independently selected alkyl group having from 1 to 4 carbon atoms.

10. The organometallic compound of claim 6, wherein each R and R' is independently selected from the group consisting of methyl, ethyl, propyl, iso-propyl, tert-butyl, iso-butyl and n-butyl.

11. The organometallic compound of any one of claims 1-6 or 8-12, wherein R and R' represent different alkyl groups.

12. The organometallic compound of claim 1, wherein the compound of Formula I is selected from the group consisting of Me2Sn( Me2)2 , Me2Sn(NEtMe)2 , t-BuSn( EtMe)3, i-PrSn( EtMe)3, n-Pr( EtMe)3, EtSN(NEtMe)3, i-BuSn(NEtMe)3, Et2Sn(NEtMe)2,

Me2Sn( EtMe)2, Sn(NEtMe)4, Bu2Sn(NEtMe)2, Et2Sn( Me2)2, Me2Sn( Et2)2,

Sn(Pyrrolidinyl)4 and Bu2Sn(Pyrrolidinyl)2.

13. The organometallic compound of claim 12, wherein the compound of Formula I is selected from the group consisting of Me2Sn( Me2)2, Me2Sn( EtMe)2, Et2Sn( Me2)2, Me2Sn( Et2)2, Sn(Pyrrolidinyl)4; and Bu2Sn(Pyrrolidinyl)2.

14. The organometallic compound of claim 13, wherein the compound of Formula I is selected from the group consisting of Me2Sn(NEtMe)2 and Me2Sn( Me2)2.

15. The organometallic compound of claim 14, wherein the compound of Formula I is

16. A composition comprising the organometallic compound of any one of the compounds in claims 1-6, 8-10, or 12-15 and another organometallic compound containing Sn.

17. The composition of claim 16, wherein the another organometallic compound is a compound of Formula I.

18. The composition of claim 16, wherein the another organometallic compound is selected from the group consisting of MeSn(NMe2)3 and Sn( Me2)4.

19. A method for the deposition of a tin oxide layer on a substrate by a vapour deposition process, the method comprising the steps of:

a. providing at least one substrate having functional O-H groups covering the surface; b. delivering to said substrate the organometallic compound of any of claims 1-6, 8-10, or 12-15 in the gaseous phase;

c. delivering to said substrate an oxygen source in the gaseous phase, forming the tin oxide layer; and

d. repeating steps a to c to generate the desired thickness of the tin oxide layer, wherein steps b and c are carried out under activating conditions.

20. The method of claim 19, wherein the activation condition is plasma generation.

21. A method for spacer-defined double patterning deposition, the method comprising the steps of:

(a) depositing a layer of (photo)resist onto a substrate having functional O-H groups covering the surface, forming a pattern in the resist with electron-beam (e-beam) lithography, and developing the photoresist to give the pattern; (b) depositing a spacer layer onto the resist using energy-enhanced ALD in the presence of the organometallic compound of any one of claims 1-6, 8-10, or 12-15;

(c) performing an anisotropic etch to remove the tops of the features, using reactive ion etching (RIE) or ion milling (IM);

(d) removing the photoresist, either by a wet or plasma etch;

(e) anisotropically etching into the target layer; and

(f) removing the spacer, leaving the patterned substrate.

22. A method of using multistage distillation to purify the organometallic compound of any one of claims 1-6, 8-10, or 12-15.

23. The method of claim 21 , wherein 2 to 20 stages are required to reduce metal contamination to <lppm.

24. The method of claim 21 , wherein 2 to 20 stages are required to reduce metal contamination to <100ppb.

25. The method of claim 21 , wherein 2 to 20 stages are required to reduce metal contamination to <10ppb.

26. The method of claim 21 , wherein 2 to 20 stages are required to reduce metal contamination to lppb or less.

Description:
Organometallic Compounds and Methods for the Deposition of High Purity Tin Oxide. TECHNICAL FIELD

[0001] The disclosure relates to organometallic compounds useful for the deposition of high purity tin oxide and to the purification of such organometallic compounds. Also disclosed are methods for the deposition of high purity tin oxide films using such compounds.

BACKGROUND

[0002] The semiconductor industry is producing more and more components having smaller and smaller feature sizes. The production of such semiconductor devices reveals new design and manufacturing challenges which must be addressed in order to maintain or improve semiconductor device performance (for example, the conductor line width and spacing within the semiconductor devices decreases). The production of semiconductor wiring stacks with high density, high yield, good signal integrity as well as suitable power delivery also presents challenges.

[0003] Lithography is a critical pattern transfer technique widely used in the fabrication of a variety of electronic devices which contain microstructures, such as semiconductor devices and liquid crystal devices. As device structures are miniaturized, masking patterns used in the lithography process must be optimized to accurately transfer patterns to the underlying layers.

[0004] Multiple-pattern lithography represents a class of technologies developed for photolithography in order to enhance the feature density of semiconductor devices. Double- patterning, a subset of multiple-patterning, employs multiple masks and photolithographic steps to create a particular level of a semiconductor device. With benefits such as tighter pitches and narrower wires, double-patterning alters relationships between variables related to semiconductor device wiring and wire quality to sustain performance.

[0005] Recently, a liquid immersion lithography method has been reported, which purports to address some of the issues facing the industry. In this method, a resist film is exposed through a liquid refractive index medium (refractive index liquid, immersion liquid) such as pure water or a fluorocarbon inert liquid, having a predetermined thickness, with the liquid refractive index medium lying at least on the resist film between a lens and the resist film on a substrate. In this method, the space of the path of exposure light, which has conventionally been filled with an inert gas, such as air or nitrogen gas, is replaced by a liquid having a larger refractive index (n), for example, pure water, with the result that even though a light source having a wavelength for the exposure conventionally used is employed, high resolution can be achieved without lowering the depth of focus, like the case where a light source having a shorter wavelength or a lens having a higher NA (numerical aperture) is used.

[0006] By employing liquid immersion lithography, a resist pattern having a higher resolution and an excellent depth of focus can be formed at a low cost, using a lens mounted on existing exposure systems (i.e. the purchase of a new exposure system is not necessary), such that the liquid immersion lithography has attracted considerable attention.

[0007] As a result of moving to immersion lithography and multi-patterning, the need exists for a new class of conformally deposited materials to be deposited on top of photo resist, BARC, and other traditional masking layers. This new conformal deposition layer can server 2 major functions:

1) It can act as a transparent protection layer (or "mask") to prevent chemical attack by the immersion lithography fluid. In this case, the conformal layer needs to be transparent, and be able to integrate with the lithography process without adverse patterning and exposure issues.

2) It can have a higher etch selectivity than prior art and traditional films such as amorphous carbon (which become more opaque with increasing thickness). For example, multi -patterning processes may require thicker (>10,000A), and therefore more opaque, amorphous carbon layers in order to achieve the necessary etch protection. To achieve a similar etch resistance, metal oxide conformal films can remain transparent while maintaining the required etch selectivity during the plasma etch process.

[0008] High purity of the reactant gases used in these processes are required, in order to ensure consistent chemical makeup for smoothness, etch and deposition characteristics, 100% step coverage/conformality requirement, and low radiation emissions known to damage electrical devices during fabrication or throughout the lifetime of the electrical device.

[0009] The purity of the film produced is also required to be high, due to the use of the film as a resist protection layer during etch or during litho immersion processing. Impurities in the film can have adverse reactions, chemically or optically, which interfere with the pattern quality and which can affect critical dimensions on the device features, resulting in degradation of the integrated device performance.

[0010] Conventional resist compositions cannot always be used in liquid immersion lithography processes, for a variety of reasons. For example, in the liquid immersion lithography process, the resist film is directly in contact with the refractive index liquid (immersion liquid) during the exposure, and hence the resist film is vulnerable to attack by the liquid. Resist compositions suitable for use in liquid immersion lithography processes must also be transparent to the exposure light. Further, conventional resist compositions may not be able to achieve a satisfactory resolution of pattern in liquid immersion lithography due to a change in their properties by the liquid, despite their utility in lithography employing the exposure through a layer of air.

[0011] Thus, there remains a need for improved transparent resist protection layers which can meet the increased requirements of the industry. Further, higher selectivity ALD films are needed for multi-patterning, as outlined above.

SUMMARY

[0012] Disclosed herein are compounds useful for the deposition of high purity tin oxide. Also disclosed are methods for the deposition of tin oxide films using such compounds. Such films demonstrate high conformality, high etch selectivity, high hardness and modulus, and are optically transparent.

[0013] Compounds include those of Formula I, below:

R x -Sn-A4-x Formula I

wherein:

A is selected from the group consisting of (Y a R'z) and a 3- to 7-membered N- containing heterocyclic group;

each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms;

each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms;

x is an integer from 0 to 4;

a is an integer from 0 to 1 ;

Y is selected from the group consisting of N, O, S, and P; and

z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P

[0014] Also disclosed is the deposition of tin oxide using such compounds. The use of compounds of Formula I in the methods disclosed herein allows for chemical vapour deposition (CVD) and atomic layer deposition (ALD) of tin oxide at a low temperature, and produces films consisting of high purity tin oxide having low metallic impurities, low alpha emission characteristics, high hardness and modulus, and >99% step coverage (i.e. high conformality) over device features and topography.

[0015] Also disclosed is the purification of compounds of Formula I by multistage distillation. Such purification yields so-called "ultra-pure" compounds having much lower levels of metallic impurities compared to compounds purified by conventional means. The use of such ultra-pure compounds in the processes disclosed herein results in films having improved properties compared to those known in the art. For example, the films may have improved hermetic properties, low metallic impurities and improvements in the associated yield loss and long term reliability failures resulting from such metallic impurities. Multistage distillation may be carried out in the form of packed columns, stage distillation columns employing trays, multiple distillation columns, or other types of multistage distillation.

[0016] The tin oxide film so produced may also exhibit high etch selectivity verses traditional masking and conformal layers used in multilayer patterning integration techniques, resulting in a thinner film requirement as compared to traditional films such as amorphous carbon, boron doped carbon, etc..

[0017] hi an embodiment, in the organometallic compound of Formula I, A is selected from the group consisting of an (NR'2) group and a 3- to 7-membered N-containing heterocyclic group. In an embodiment, A is an (NR'2) group. In an embodiment, A is a 3- to 7-membered N-containing heterocyclic group. In an embodiment, A is a pyrrolidinyl group. In an embodiment, A 4 - x is (NMe2)2 or (NEtMe) 2 .

[0018] In other embodiments R and R' group is an independently selected alkyl group having from 1 to 10 carbon atoms. It is contemplated that each R and R' group may be an independently selected alkyl group having from 1 to 6 carbon atoms. In embodiments, each R and R' group is an independently selected alkyl group having from 1 to 4 carbon atoms. In embodiments, R and R' is independently selected from the group consisting of methyl, ethyl, propyl, iso-propyl, tert-butyl, iso-butyl and n-butyl. In embodiments R and R' represent different alkyl groups.

[0019] In an embodiment, the compound of Formula I is selected from the group consisting of Me 2 Sn(NMe 2 )2 , Me 2 Sn(NEtMe) 2 , t-BuSn(NEtMe) 3 , i-PrSn(NEtMe) 3 , n-Pr(NEtMe) 3 , EtSN(NEtMe) 3 , i-BuSn(NEtMe) 3 , Et 2 Sn(NEtMe) 2 , Me 2 Sn(NEtMe) 2 , Sn(NEtMe) 4 ,

Bu 2 Sn(NEtMe) 2 , Et 2 Sn(NMe 2 ) 2 , Me 2 Sn(NEt 2 ) 2 , Sn(Pyrrolidinyl) 4 and Bu2Sn(Pyrrolidinyl) 2 .

[0020] In embodiments, the compound of Formula I is selected from the group consisting of Me 2 Sn(NMe 2 )2, Me 2 Sn(NEtMe) 2 , Et 2 Sn(NMe 2 ) 2 , Me 2 Sn(NEt 2 ) 2 , Sn(Pyrrolidinyl) 4; and Bu2 Sn(Pyrrolidinyl)2. [0021] In embodiments, the compound of Formula I is selected from the group consisting of Me 2 Sn( EtMe) 2 and Me 2 Sn(NMe 2 ) 2 .

[0022] In embodiments, the compound of Formula I is Me 2 Sn(NMe 2 ) 2 .

[0023] In embodiments, a composition is provided that comprises the organometallic compound of any of the disclosed compounds and another organometallic compound containing Sn. The another organometallic compound may be a compound of Formula I.

[0024] In various embodiments, another organometallic compound is selected from the group consisting of MeSn(NMe 2 )3 and Sn(NMe 2 )4.

[0025] A method id disclosed for the deposition of a tin oxide layer on a substrate by a vapour deposition process. The method comprises the steps of:

(a) providing at least one substrate having functional O-H groups covering the surface;

(b) delivering to said substrate the organometallic compound of any of claims 1- 6, 8-10, or 12-15 in the gaseous phase;

(c) delivering to said substrate an oxygen source in the gaseous phase, forming the tin oxide layer; and

(d) repeating steps a to c to generate the desired thickness of the tin oxide layer,

(e) wherein steps b and c are carried out under activating conditions.

[0026] In certain embodiments, the activation condition is plasma generation.

[0027] A method is also disclosed for spacer-defined double patterning deposition. The method comprises the steps of:

(a) depositing a layer of (photo)resist onto a substrate having functional O-H groups covering the surface, forming a pattern in the resist with electron-beam (e- beam) lithography, and developing the photoresist to give the pattern;

(b) depositing a spacer layer onto the resist using energy-enhanced ALD in the presence of the organometallic compound of any one of claims 1-6, 8-10, or 12- 15;

(c) performing an anisotropic etch to remove the tops of the features, using reactive ion etching (RIE) or ion milling (IM);

(d) removing the photoresist, either by a wet or plasma etch;

(e) anisotropically etching into the target layer; and

(f) removing the spacer, leaving the patterned substrate.

[0028] In an embodiment, a method of using multistage distillation to purify the

organometallic compounds disclosed, i an embodiment, 2 to 20 stages are required to reduce metal contamination to <lppm. In an embodiment, 2 to 20 stages are required to reduce metal contamination to <100ppb. In an embodiment, 2 to 20 stages are required to reduce metal contamination to <10ppb. In an embodiment, 2 to 20 stages are required to reduce metal contamination to lppb or less.

[0029] The foregoing and other features of the invention and advantages of the present invention will become more apparent in light of the following detailed description of the preferred embodiments, as illustrated in the accompanying figures. As will be realized, the invention is capable of modifications in various respects, all without departing from the invention. Accordingly, the drawings and the description are to be regarded as illustrative in nature, and not as restrictive

BRIEF DESCRIPTION OF THE DRAWINGS

[0030] Figure 1 shows a sectional view of one embodiment of a processing chamber useful for the processes disclosed herein.

[0031] Figure 2 illustrates schematically cross-sectional views of a substrate at different stages of an integrated circuit fabrication sequence.

[0032] Figure 3 is a process flow diagram depicting a method for depositing a tin oxide film [0033] Figure 4 is a schematic representation of a spacer-defined double-patterning technique.

[0034] Figure 5 shows the NMR spectrum of Me 3 SnNMe 2 .

[0035] Figure 6 shows the NMR spectrum of Sn(NMe 2 )4.

[0036] Figure 7 shows the NMR spectrum of Me 2 Sn(NEtMe) 2 .

[0037] Figure 8 shows the NMR spectrum of Bu 2 Sn(NMe 2 ) 2 .

[0038] Figure 9 shows the NMR spectrum of Me 2 SnEt 2 .

[0039] Figure 10 shows the NMR spectrum of Me 4 Sn.

[0040] Figure 11 shows the NMR spectrum of Bu 2 Sn(OMe) 2 .

[0041] Figure 12 shows the NMR spectrum of Bu 2 Sn(OAc) 2 .

[0042] Figure 13 shows the NMR spectrum of Et 2 Sn(NMe 2 ) 2 .

[0043] Figure 14 shows the NMR spectrum of Me 2 Sn(NEt 2 ) 2 .

[0044] Figure 15 shows the NMR spectrum of Sn(Pyrrolodinyl)4.

[0045] Figure 16 shows the NMR spectrum of Bu 2 Sn(Pyrrolodinyl) 2 .

[0046] Figure 17 shows the NMR spectrum of Et 2 Sn(Pyrrolodinyl) 2 .

[0047] Figure 18 shows the NMR spectrum of Me 2 Sn(NMe 2 ) 2 .

[0048] Figure 19 shows the NMR spectrum of tBuSn(NMe 2 ) 3

[0049] Figure 20 shows the NMR of the reaction of (NMe 2 Sn with ethanol. [0050] Figure 21 shows the NMR of the reaction of Me3Sn Me2 with water.

[0051] Figure 22 shows the NMR of the reaction of Bu2Sn(OAc)2 with methanol.

[0052] Figure 23 shows the NMR of the reaction of Bu2Sn(OMe)2 with acetic acid.

[0053] Figure 24 shows the NMR of the reaction of Bu2Sn(NMe2)2 with methanol.

[0054] Figure 25 shows the NMR of Me 4 Sn before and after heating at 200°C.

[0055] Figure 26 shows the NMR of Et 2 Sn(NMe 2 )2 before and after heating at 200°C.

[0056] Figure 27 shows the NMR of Me 2 Sn(NMe 2 )2 before and after heating at 150°C.

[0057] Figure 28 shows the decomposition temperatures of illustrative compounds of Formula I.

[0058] Figure 29 shows a schematic of a multistage distillation apparatus.

DETAILED DESCRIPTION OF PARTICULAR EMBODIMENTS

[0059] Disclosed are organometallic compounds of Formula I, below:

R x -Sn-A4-x Formula I

wherein:

A is selected from the group consisting of (YaR'z) and a 3- to 7-membered N- containing heterocyclic group;

each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms;

each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms;

x is an integer from 0 to 4;

a is an integer from 0 to 1 ;

Y is selected from the group consisting of N, O, S, and P; and

z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P

[0060] Compounds of Formula I include those in which R is selected from the group consisting of alkyl and aryl groups having from 1 to 10 carbon atoms. Particular compounds are those in which R is selected from the group consisting of alkyl and aryl groups having from 1 to 6 carbon atoms. More particular are those in which R is selected from the group consisting of alkyl and aryl groups having from 1 to 4 carbon atoms. Examples of such compounds include those in which R is a methyl, ethyl or a butyl group.

[0061] Compounds of Formula I include those in which R' is selected from the group consisting of alkyl, acyl and aryl groups having from 1 to 10 carbon atoms. Particular compounds are those in which R' is selected from the group consisting of alkyl, acyl and aryl groups having from 1 to 6 carbon atoms. More particular are those in which R' is selected from the group consisting of alkyl, acyl and aryl groups having from 1 to 4 carbon atoms. Examples of such compounds include those in which R' is a methyl group, an ethyl group or an acetyl group.

[0062] Compounds of Formula I include those in which Y is selected from the group consisting of N, O, S, and P. Particular compounds are those in which Y is selected from the group consisting of N and O.

[0063] Compounds of Formula I include those in which x is an integer from 0 to 4. i particular embodiments, x is an integer from 1 to 3. More preferably, x is 2.

[0064] Compounds of Formula I include those in which A is a 3- to 7-membered N- containing heterocyclic group such as aziridinyl, pyrrolidinyl, and piperidinyl. Particular compounds are those in which A is a pyrrolidinyl or piperidinyl group.

[0065] Compounds of Formula I include those in which R is an alkyl group and A is an NR'2 group, and wherein R' is an alkyl group. Particular compounds are those in which R and R' represent different alkyl groups.

[0066] Compounds of Formula I are thermally stable whilst exhibiting good reactivity. Thus, delivery of the compound to the deposition chamber will take place without decomposition occurring, (decomposition results in a deposited film which will not be uniform). A good stability and reactivity profile, as demonstrated by the compounds of the invention, also means that less material is required to be delivered to the growth chamber (less material is more economic), and cycling will be faster (as there will be less material left in the chamber at the end of the process to be pumped off), meaning that thicker films can be deposited in shorter times, so increasing throughput. Further, ALD can be carried out at much lower temperatures (or using a wider temperature window) using compounds of Formula I than processes of the art. Thermal stability also means that material can be purified much more easily after synthesis, and handling becomes easier.

[0067] Such compounds are useful for encapsulating and protecting the resist layers used in liquid immersion lithography (i.e. acting as a "mask"). Thus, the compounds disclosed herein may be used for the manufacture of a transparent tin oxide film having properties suitable for deposition over photoresists, or other organic masking layers, to allow for protection of the underlying layer during liquid immersion lithography, and which permits the manufacture of devices having improved semiconductor device performance such as low defect density, improved device reliability, high device density, high yield, good signal integrity and suitable power delivery, as required by the industry. [0068] Further, the use of a compound of Formula I in the methods disclosed herein allows for chemical vapour deposition (CVD) and atomic layer deposition (ALD) of tin oxide at a low temperature, and produces films consisting of high purity tin oxide having low metallic impurities, low alpha emission characteristics, and >99% step coverage (i.e. high

comformality) over device features and topography.

[0069] Figure 1 shows a sectional view of one embodiment of a processing chamber 800 suitable for CVD (Chemical Vapor Deposition), ALD (Atomic Layer Deposition), Etching, or doping dopants into a substrate. Suitable processing chambers that may be adapted for use with the teachings disclosed herein include those commonly used in integrated circuit fabrication, it is contemplated that many types of processing chambers may be adapted to benefit from one or more of the inventive features disclosed herein. The processing chamber 800 as described herein may be utilized as a plasma deposition apparatus. However, the processing chamber 800 may also include, but not be limited to, deposition, etching, and doping systems. The processing could be using either thermal or plasma deposition or etching mechanisms. Furthermore, the deposition apparatus can deposit or etch many differing materials on a substrate. One such process includes deposition of a conformal tin oxide on a substrate, such as a semiconductor substrate, with desired physical properties of film transparency to varying wavelengths of light, deposition conformality, tin oxide low in metal impurities, low film roughness, and high etch selectivity to underlying layers.

[0070] The processing chamber 800 may include chamber body 801 defining an interior processing region 809. A substrate support 834 is disposed in the processing chamber 800. A substrate 838 having features 844 formed thereon may be disposed on the substrate support 834 during a directional plasma process. The substrate 838 may include, but not be limited to, a semiconductor wafer, flat panel, solar panel, and polymer substrate. The semiconductor wafer may have a disk shape with a diameter of 200 millimeters (mm), 300 millimeters (mm) or 450 millimeters (mm) or other size, as needed.

[0071] A RF plasma source 806 is coupled to the chamber body 801 and configured to generate a plasma 840 in the processing chamber 800.

[0072] A gas source 888 is coupled to the processing chamber 800 to supply a gas to the interior processing region 809. Examples of a gas include, but are not limited to, oxidants such as 02, 03, NO, N02, C02, H202, and H20. The plasma source 806 may generate the plasma 840 by exciting and ionizing the gas provided to the processing chamber 800. Ions in the plasma 840 may be attracted across the plasma sheath 842 by different mechanisms. A bias source 890 is coupled to the substrate support 834 configured to bias the substrate 838 to attract ions 802 from the plasma 840 across the plasma sheath 842. The bias source 890 may be a DC power supply to provide a DC voltage bias signal or an RF power supply to provide an RF bias signal.

[0073] In operation, a feed gas comprising a compound of Formula I may be flowed in step 1 to saturate the surface of features 844, then in subsequent step 2 an oxidizing gas, as described above, is ionized in the plasma and reacts on surface 844 to form a 0.1 to 2.0 A conformal layer of Sn(¾ or other layers (layer 847). Then steps 1 and 2 are repeated until the desired conformal film thickness is achieved. In the case of an etching reaction, the process steps and gas flows would be designed to modify the chemical make-up of layer 844 in step 1 and followed by the gas in step 2 to etch a thin layer of the modified 844 surface. Once again, steps 1 and 2 would be repeated to achieve the desired etch target removal of layer 844.

[0074] In an additional embodiment, layer 844 could be comprised of organic material such as photo resist that is sensitive to immersion chemistry and therefore needs the protection layer 847 to be deposited to prevent chemical attack or modification as mentioned previously. The layer 844 could be adversely affected by high temperature exposure above 250°C, 200°C, 150°C, or in extreme cases 100°C, such that substrate 834 must be maintained at a low temperature to prevent damage to layer 844. In this embodiment, layer 847 is deposited at low temperature to prevent damage to features and layer 844. In this case the source gases must be chosen such that the chemical reaction can occur at a sufficient deposition rate to maintain an economically feasible and short processing time. Compounds of Formula I are examples of molecules which have sufficiently high rates of reaction to provide for high deposition rates on the order of 0.2 to 2.0 angstroms/cycle.

[0075] Processes disclosed herein are carried out under activating conditions, such as using a plasma source, as described above. The processing chamber may also rely on the use of thermal, chemical or other suitable activation processes without the need for a plasma reaction. Alternatively, iterative sequences of plasma and non-plasma activation steps to deposit or etch thin layers of materials may be used.

An example of a fabrication process for deposition of a tin oxide film

[0076] Figure 2 illustrates schematically cross-sectional views of a substrate 834 at different stages of an integrated circuit fabrication sequence for making a tin oxide film. The substrate 834, as shown in Figure 2A, may have a substantially planar surface. Alternatively, the substrate may have patterned structures, a surface having trenches, holes, or vias formed therein. The substrate 834 may also have a substantially planar surface having a structure formed thereon or therein at a desired elevation. While the substrate 834 is illustrated as a single body, it is understood that the substrate 834 may contain one or more material layers used in forming semiconductor devices such as metal contacts, trench isolations, gates, bit- lines, or any other interconnect features. A substrate structure 850 denotes the substrate 834 together with other material layers formed on the substrate 834.

[0077] The substrate 834 may comprise one or more metal layers, one or more dielectric materials, semiconductor material, and combinations thereof utilized to fabricate

semiconductor devices. For example, the substrate 834 may include an oxide material, a nitride material, a polysilicon material, or the like, depending upon application. In one embodiment where a memory application is desired, the substrate 834 may include the silicon substrate material, an oxide material, and a nitride material, with or without polysilicon sandwiched in between.

[0078] In another embodiment, the substrate 834 may include a plurality of alternating oxide and nitride materials (i.e., oxide-nitride-oxide (ONO)) deposited on a surface of the substrate (not shown). In various embodiments, the substrate 834 may include a plurality of alternating oxide and nitride materials, one or more oxide or nitride materials, polysilicon or amorphous silicon materials, oxides alternating with amorphous silicon, oxides alternating with polysilicon, undoped silicon alternating with doped silicon, undoped polysilicon alternating with doped polysilicon, or updoped amorphous silicon alternating with doped amorphous silicon. The substrate 834 may be any substrate or material surface upon which film processing is performed. For example, the substrate 834 may be a material such as crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitrides, doped silicon, germanium, gallium arsenide, glass, sapphire, low k dielectrics, and combinations thereof.

[0079] Figure 2A illustrates a cross-sectional view of a substrate structure 850 having a material layer 844 that has been previously formed thereon. The material layer 844 may be a dielectric material, for example an oxide layer, such as a low-k carbon containing dielectric layer, a porous silicon oxycarbide low-k or ultra low-k dielectric layer.

[0080] Figure 2B depicts a tin oxide layer 847 deposited on the substrate structure 850 of Figure 2A. The tin oxide layer 847 may be useful as a pattern transfer layer, or a hard mask, for subsequent etch processes. The tin oxide layer 847 is formed on the substrate structure 850 by any suitable deposition process, such as via PEALD (plasma-enhanced atomic layer deposition), as will be discussed in more detail below. Depending on the etch chemistry of the energy sensitive resist material 808 used in the fabrication sequence, an optional capping layer (not shown) may be formed on the tin oxide layer 847 prior to the formation of energy sensitive resist material 808. The optional capping layer functions as a mask for the tin oxide layer 847 when the pattern is transferred therein and protects amorphous carbon layer 847 from energy sensitive resist material 808.

[0081] As depicted in Figure 2B, energy sensitive resist material 808 is formed on the tin oxide layer 847. The layer of energy sensitive resist material 808 can be spin-coated on the substrate to a desired thickness. Most energy sensitive resist materials are sensitive to ultraviolet (UV) radiation having a wavelength less than about 450 nm, and for some applications having wavelengths of 245 nm or 193 nm. The energy sensitive resist material 808 may be a polymer material or a carbon-based polymer.

[0082] A pattern is introduced into the layer of energy sensitive resist material 808 by exposing energy sensitive resist material 808 to UV radiation through a patterning device, such as a mask, and subsequently developing energy sensitive resist material 808 in an appropriate developer. After energy sensitive resist material 808 has been developed, a defined pattern of through openings 840 is present in energy sensitive resist material 808, as shown in Figure 2C.

[0083] Thereafter, referring to Figure 2D, the pattern defined in energy sensitive resist material 808 is transferred through the tin oxide layer 847 using the energy sensitive resist material 808 as a mask. An appropriate chemical etchant is used that selectively etches the tin oxide layer 847 over the energy sensitive resist material 808 and the material layer 844, extending openings 840 to the surface of material layer 844. Appropriate chemical etchants may include reducing or halogenated chemistries including but not limited to hydrogen, ammonia, and various chlorine containing molecules.

[0084] Referring to Figure 2E, the pattern is then transferred through material layer 844 using the tin oxide layer 847 as a hardmask. In this process step, an etchant is used that selectively removes material layer 844 over the tin oxide layer 847. After the material layer 844 is patterned, the tin oxide layer 847 can optionally be stripped from the substrate 834.

Examples of Deposition Processes

[0085] Figure 3 is a process flow diagram depicting a method for depositing a tin oxide film according to an embodiment. Figure 2 is a schematic showing cross-sectional views of a substrate at different stages of an integrated circuit fabrication sequence. [0086] It should be noted that the sequence of steps illustrated are not intended to be limiting as to the scope of Formula I described herein, since one or more steps may be added, deleted and/or reordered without deviating from the basic scope of the invention.

[0087] The method 100 begins at block 110 by providing a substrate having a material layer deposited thereon. The substrate and the material layer may be the substrate 834 and the material layer 844 as shown in Figures 2A and 2B.

[0088] At block 120, a compound of Formula I is flowed into the processing volume from a metal precursor source. The metal containing precursor is allowed sufficient residence time to adhere to the substrate surface 834, after which an oxidant is flowed into the processing volume. Suitable oxidants include, but are not limited to, compounds such as H2O in the gaseous phase, H2O2 in the gaseous phase, O2, O3, NO, NO2, CO, and CO2.

[0089] At block 130, a plasma is generated in the interior processing volume, allowing the compound of Formula I to react with the ionized oxidizing gases to form a tin oxide layer on the material layer.

[0090] The tin oxide layer may be formed by any suitable deposition process, such as a plasma-enhanced chemical vapor deposition (PECVD) process or a plasma-enhanced atomic layer deposition (PEALD) process. Alternatively, the plasma-enhanced thermal

decomposition or reactive process as discussed above may not be used. Instead, the substrate is exposed to the gas mixture of the carbon-containing precursor, the compound of embodiments of the invention, and the reducing agent in the processing volume, which is maintained at an elevated temperature suitable for thermal decomposition of the gas mixture. Other deposition processes, such as a metal-organic CVD (MOCVD) process and atomic layer deposition (ALD) process may also be used to form the deposited metal-oxide.

[0091] Certain or all of the processes described in blocks 120 to 130 of Figure 3 may be repeated until a desired thickness is reached. Thickness of the tin oxide layer 847 is variable, depending upon the stage of processing. In one embodiment, the tin oxide layer 847 may have a thickness from about 50A to about 500A, such as about IOOA to about 200A such that the tin oxide layer can be consumed during the main etch process with excellent hardmask performance (e.g., good CD control and feature profile). The resulting tin oxide hardmask may be used in various applications such as deep oxide contact etches, DRAM capacitor mold etches, and line and/or space etches. In the case of the line and space etch applications such as shallow trench isolation etch hardmask, gate etch hardmask and bit-line etch hardmask, the tin oxide layer may have about 1 OOA to about 200A. Depending upon the etch selectivity of the dense and isolated regions, the thickness of the layers may be tuned accordingly.

[0092] Once a tin oxide layer 847 with a desired thickness is deposited on the material layer 844, the substrate may be subjected to additional processes, such as the deposition process to form an energy sensitive resist material 808 on the tin oxide layer 847, and/or patterning process, as discussed above. The tin oxide layer 847 may be patterned using a standard photoresist patterning techniques. The metal tin oxide layer 847 may be removed using a solution comprising hydrogen peroxide and sulfuric acid. One solution comprising hydrogen peroxide and sulfuric acid is known as Piranha solution or Piranha etch. The tin oxide layer 847 may also be removed using etch chemistries containing hydrogen, deuterium, oxygen, and halogens (e.g. fluorine or chlorine), for example, CI2/O2, CF4/O2, CI2/O2/CF4. A purge process using a suitable purge gas, such as argon, nitrogen, helium, or combination thereof, may be performed between the processes described above to prevent unwanted condensation of the gas or byproducts on the chamber walls or other component components. The purge process may be performed with no application of RF power.

[0093] In general, the following examples of deposition process parameters may be used to form the tin oxide layer on a 300 mm substrate. The process parameters may range from a wafer temperature of about 25°C. to about 700°C, for example, between about 200°C. to about 500°C, depending on the application of the hardmask film. The chamber pressure may range from a chamber pressure of about 1 Torr to about 20 Torr, for example, between about 2 Torr and about 10 Torr. The flow rate of the tin oxide-containing precursor may be from about 100 seem to about 5,000 seem, for example, between about 400 seem and about 2,000 seem. If a liquid source is used, the precursor flow may be between about 50 mg/min to about 1000 mg/min. If a gaseous source is used, the precursor flow may be between about 200 seem to about 5000 seem, for example about 200 seem to about 600 seem. The flow rate of a dilution gas may individually range from about 0 seem to about 20,000 seem, for example from about 2,000 seem to about 10,000 seem. The flow rate of a plasma-initiating gas may individually range from about 0 seem to about 20,000 seem, for example from about 200 seem to about 2,000 seem. The flow rate of the metal-containing precursor may be from about 1,000 seem to about 15,000 seem, for example, between about 5,000 seem and about 13,000 seem. The flow rate of the reducing agent may be from about 200 seem to about 15,000 seem, for example, between about 1,000 seem and about 3,000 seem.

[0094] Plasma may be generated by applying RF power at a power density to substrate surface area of from about 0.001 W/cm2 to about 5 W/cm2, such as from about 0.01 W/cm2 to about 1 W/cm2, for example about 0.04 W/cm2 to about 0.07 W/cm2. The power application may be from about 1 W to about 2,000 W, such as from about 10 W to about 100 W, for a 300 mm substrate. RF power can be either single frequency or dual frequency. A dual frequency RF power application is believed to provide independent control of flux and ion energy since the energy of the ions hitting the film surface influences the film density. The applied RF power and use of one or more frequencies may be varied based upon the substrate size and the equipment used. If a single frequency power is used, the frequency power may be between about 10 KHz and about 30 MHz, for example about 13.56 MHz or greater, such as 27 MHz or 60 MHz. If a dual-frequency RF power is used to generate the plasma, a mixed RF power may be used. The mixed RF power may provide a high frequency power in a range from about 10 MHz to about 60 MHz, for example, about 13.56 MHz, 27 MHz or 60 MHz, as well as a low frequency power in a range of from about 10 KHz to about 1MHz, for example, about 350 KHz. Electrode spacing, i.e., the distance between a substrate and a showerhead, may be from about 200 mils to about 1000 mils, for example, from about 280 mils to about 300 mils spacing.

[0095] The process range as discussed herein provides a typical deposition rate for the tin oxide layer in the range of about O.lA/cycle to about 2A/cycle and can be implemented on a 300 mm substrate in a deposition chamber from most commercially available CVD and ALD processing chambers. The metal-doped oxide layer may be deposited to a thickness between about 50A and about 500A, such as between about ΙΟθΑ and about 200A.

[0096] Compounds of Formula I may also be used in spacer-defined double patterning techniques, as illustrated in Figure 4. The steps for such a process are as follows:

(a) Deposition of a layer of (photo)resist onto a silicon substrate, then form a pattern in the resist with Extreme Ultra Violet (EUV), Deep Ultra Violet (DUV), or electron- beam (e-beam), or other lithography. The photoresist is then developed to give the pattern.

(b) Deposit a spacer layer onto the resist using energy-enhanced ALD.

(c) Perform an anisotropic etch to remove the tops of the features, using reactive ion etching (RIE) or ion milling (IM), ideally leaving a square (non-rounded) corner to the spacer.

(d) Remove the photoresist, either by a wet or plasma etch.

(e) Anisotropically etch into the target layer (e.g. silicon).

(f) Remove the spacer, leaving your patterned substrate. [0097] Compounds of Formula I may be prepared by processes known in the art. The examples below are illustrative of such processes, but are not intended to be limiting.

Example 1: Synthesis of Me3Sn(NMe2

[0098] In a 250 mL flask was charged 20 mL of 2.5M Butyllithium solution in hexane and 50 mL of anhydrous hexane. To the solution, IVfeNH gas was passed till fully reacted and the reaction mixture was stirred for 2 hrs. The solution of 10 g of MesSnCl in 100 mLof anhydrous hexanewas then added and the mixture was stirred for 12 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. NMR confirmed the product to be Me3Sn Me2, as shown in Figure 5.

Example 2: Synthesis of Sn NMe2 4

[0099] In a 250 mL flask was charged 80 mL of 2.5M Butyllithium solution in hexane and 50 mL of anhydrous hexane. To the solution, Me2 H gas was passed till fully reacted and the reaction mixture was stirred for 2 hrs. The solution of 13 g of SnCU in 100 mL of anhydrous benzene was then added and the mixture was refluxed for 4 hrs. Once cooled, filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. NMR confirmed the product to be Sn(NMe2)4, as shown in Figure 6.

Example 3: Synthesis of Me2Sn(NEtMe)2

[0100] Under inert atmosphere, a 1 L round bottom flask was charged with 25.00 mL of 2.5M Butyllithium solution in hexane and 200 mL of anhydrous hexane, followed by a slow addition of 5.40 mL of HNEtMe in 100 mL of anhydrous hexane. The reaction mixture was then stirred at room temperature for 1 h. The solution of 6.70 g of NfeSnCh in 200 mL of anhydrous benzene was then added to the flask (while cooled in the ice bath), and the reaction mixture was left stirring at room temperature overnight. The solvent was removed under reduced pressure from the filtrate. The liquid product was isolated by distillation under reduced pressure (80 DC at 9.8 x 10 "2 Torr). As shown in Figure 7, the product was confirmed to be Me2Sn(NEtMe)2 by NMR spectroscopy.

1) wBuLi + HNEtMe - LiNEtMe + butane Formula II

2) Me 2 SnCl 2 + 2 LiNEtMe - Me 2 Sn(NEtMe) 2 + 2LiCl Formula III Example 4: Synthesis of Bu2Sn( Me2 2

[0101] In a 250 mL flask was charged 24 mL of 2.5M Butyllithium solution in hexane and 100 mL of anhydrous hexane. To the solution, NfeNH gas was passed till fully reacted and the reaction mixture was stirred for 2 hrs. The solution of 9.11 g of Bu2SnCi2 in 100 mL of anhydrous benzene was then added and the mixture was stirred for 4 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. NMR confirmed the product to be Bu2Sn( Me2)2, as shown in Figure 8.

Example 5: Synthesis of Me2SnEt2

[0102] 6.59 g of NfeSnCh was dissolved in 100 mL of anhydrous ether, followed by the addition of 30 mL of 3M EtMgBr under N 2 . After stirring for 4 hrs, mixture was treated with 0.1MHC1 solution and organic layer was collected. The collected organic layer was then treated with saturated NaHC03 solution and organic layer is collected. Distillation under 2 was carried out to remove ether. Purification was carried out by distillation under reduced pressure. As shown in Figure 9, NMR confirmed the product to be Me2SnEt2.

Example 6: Synthesis of Me4Sn

[0103] To the solution of 23.5 g of SnCU in ether was added 150 mL of 3M MeMgl under N 2 . After stirring for 4 hrs, mixture was treated with 0.1 M HC1 solution and organic layer was collected. The collected organic layer was then treated with saturated NaHCCb solution and organic layer is collected. Fractional distillation was carried out to remove ether.

Purification was carried out by distillation under reduced pressure. As shown in Figure 10, NMR confirmed the product to be Me4Sn.

Example 7: Synthesis of Bu2Sn(OMe 2

[0104] To a 250 mL flask was charged 20 g of Bu2SnCb and 20 mL of anhydrous methanol, followed by the addition of 7 g of sodium methoxide in 30 mL of anhydrous methanol. The resulting mixture was refluxed for 12 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. As shown in Figure 11, NMR confirmed the product to be

Bu 2 Sn(OMe) 2 . Example 8: Synthesis of Bu2Sn(OAc 2

[0105] Sodium acetate was first made by adding 6 g acetic acid into a solution of 5.4 g of sodium methoxide in 30mL of anhydrous methanol. This was then added into the mixture of 30 g of Bu2SnCb in 30 mL of anhydrous methanol. The resulting mixture was refluxed for 4 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. As shown in Figure 12, NMR confirmed the product to be Bu2Sn(OAc)2.

Example 9: Synthesis of Et2SnfNMe2 2

[0106] A I L flask was charged with 22 mL of 2.5M Butyllithium solution in hexane and 400 mL of anhydrous hexane. NfeNH gas was passed through the solution, and the reaction mixture was stirred for 1 h. The solution of 6.71 g of Et2SnCb in 100 mL of anhydrous benzene was then added and the mixture was stirred for 4 hrs. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. The liquid product was purified by distillation under reduced pressure. As shown in Figure 13, NMR confirmed the product to be Et2Sn(NMe2)2.

Example 10: Synthesis of Me2SniNEt2 2

[0107] In a 250 mL flask was charged 24 mL of 2.5M Butyllithium solution in hexane and 50 mL of anhydrous hexane, followed by the addition of 4.39 g of Et2NH. The reaction mixture was stirred for 2 hrs. The solution of 6.59 g of Me2SnCb in 100 mL of anhydrous ether was then added and the mixture was stirred for 4 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. As shown in Figure 14, NMR confirmed the product to be

Example 11 : Synthesis of Sn(Pyrrolidinyl)4

[0108] Under inert atmosphere, a 100 mL round bottom flask was charged with 0.5 mL of Sn(NMe2)4 and 25 mL of anhydrous hexane, followed by a drop-wise addition of 1.1 mL of pyrrolidene. After stirring the reaction mixture at room temperature for 2 h, the solvent was removed via distillation under reduced pressure. The residue remaining in the reaction flask was confirmed to be Sn(Pyrrolodinyl)4 by NMR spectroscopy, as shown in Figure 15. Example 12: Synthesis of Bu2Sn(Pyrrolodinyl)2

[0109] Under inert atmosphere, a 1 L round bottom flask was charged with 25 mL of 2.5M Butyllithium solution in hexane and 200 mL of anhydrous hexane, followed by a slow addition of 5.3 mL of pyrrolidene in 25 mL of anhydrous hexane. The reaction mixture was then stirred at room temperature for 1 h, and then placed into the ice bath. The solution of 9.46 g of Bu2SnCb in 50 mL of anhydrous hexane was then added to the flask, and the reaction mixture was left stirring at room temperature for 2 h. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. As shown in Figure 16, the product was confirmed to be Bu2Sn(Pyrrolodinyl)2 by NMR spectroscopy.

Example 13: Synthesis of Et2Sn(Pyrrolodinyl)2

[0110] Under inert atmosphere, a 1 L round bottom flask was charged with 5.3 mL of pyrrolidene and 200 mL of anhydrous pentane. Once the reaction flask was placed in the ice bath, 25 mL of 2.5M Butyllithium solution in hexane were slowly added to the reaction flask while stirring vigorously. The reaction mixture was then stirred at room temperature for 1 h, and then placed back into the ice bath. The solution of 7.7 g of Et2SnCi2 in 100 mL of anhydrous pentane and 20 mL of anhydrous benzene was then added to the flask, and the reaction mixture was left stirring at room temperature overnight. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. Final product was purified via vacuum distillation. As shown in Figure 17, the product is confirmed to be Et2Sn(Pyrrolodinyl)2 by NMR spectroscopy.

Example 14: Synthesis of Me2SnfNMe2 2

[0111] Under inert atmosphere, a 1 L flask was charged with 25 mL of 2.5M Butyllithium solution in hexane and 400 mL of anhydrous hexane. The reaction flask was placed in the ice bath and Me2 H gas was passed through the solution until a white slushy solution was obtained (ca. 15 min). Afterwards the reaction mixture was stirred for 1 h at room temperature. The reaction flask was placed in the ice bath again and the solution of 6.7 g of Me2SnCb in 100 mL of anhydrous benzene was slowly added, and the mixture was stirred overnight at room temperature. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. The liquid product was purified by distillation under reduced pressure. As shown in Figure 18, the product is confirmed to be Me2Sn( Me2)2 by NMR spectroscopy.

Example 15: Synthesis of tBuSn( Me2 3

[0112] Sn(NMe 2 ) 4 + tBuLi - tBuSn(NMe 2 ) 3 + LiNMe 2 Formula IV

[0113] Under inert atmosphere, a 5 L round bottom flask was charged with 100 mL of Sn( Me2)4 and ca. 3 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15 °C. hi the glovebox, a 1 L flask was loaded with 200 mL of 1.7M tert-butyllithium solution in anhydrous hexane, and ca. 200 mL of anhydrous hexane. The tBuLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and 62 g of the final product were isolated by distillation under reduced pressure (120 °C, 6.2 x 10 "2 Torr). As shown in Figure 19, the product was confirmed to be tBuSn(NMe2)3 by NMR spectroscopy. 90% tBuSn(NMe 2 )3 and 10% tBu 2 Sn(NMe 2 )2.

[0114] Similarly, complexes of the type RSn(NEtMe)3 can be synthesized following the above procedure by reacting Sn(NEtMe)4 with RLi, where R=Et, ' Pr, iBu, «Pr

Sn(NEtMe) 4 + RLi - RSn(NEtMe) 3 + LiNEtMe Formula V where R = Et, /Pr, iBu, «Pr

Example 16: Sn(NEtMe) 4 + EtLi - EtSn(NEtMe)3 + LiNEtMe

[0115] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe)4 and ca. 2.5 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15 °C. hi the glovebox, a 1 L flask was loaded with 655 mL of 0.5 M ethyllithium solution in anhydrous benzene, and ca. 200 mL of anhydrous benzene. The EtLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure. Example 17: SniNEtMe 4 + iPrLi - iPrSnfNEtMeh + LiNEtMe

[0116] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe)4 and ca. 2.5 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15 °C. i the glovebox, a 1 L flask was loaded with 468 mL of 0.7 M isopropyllithium solution in anhydrous pentane, and ca. 200 mL of anhydrous hexane. The iPrLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 18: Sn(NEtMe) 4 + iBuLi - iBuSn(NEtMe)3 + LiNEtMe

[0117] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe)4 and ca. 3 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15 °C. i the glovebox, a 1 L flask was loaded with 193 mL of 1.7 M isobutyllithium solution in anhydrous heptane, and ca. 200 mL of anhydrous hexane. The iBuLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 19: SnfNEtMe) 4 + nPrLi - nPrSnfNEtMeh + LiNEtMe

[0118] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe)4 and ca. 3 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15 °C. i the glovebox, a 1 L flask was loaded with 193 mL of 1.7 M n-propyllithium solution in anhydrous heptane, and ca. 200 mL of anhydrous hexane. The nPrLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 20: Comparative Reactivity Tests [0119] a)

To Sn( Me2)4 was added water. Reaction took place spontaneously. The clear Sn(NMe2)4 turned cloudy and a white solid formed.

To Sn(NMe2)4 was added anhydrous ethanol. The mixture warmed up and NMR confirmed the complete replacement of -NMe2 group by -OEt group. More ethanol was added and NMR was carried out to further confirm the completion of the reaction (Figure 20).

[0120] b)

To Me3SnNMe2 was added water. NMR indicated that no reaction took place. The mixture was heated at 50 °C for 1 hr. NMR showed that reaction took place (Figure 21).

To Me3SnNMe2 was added anhydrous methanol. NMR indicated that no reaction took place. The mixture was heated at 50 °C for 1 hr. The clear solution turned cloudy. NMR confirmed that reaction had taken place.

[0121] c)

To Bu2Sn(OAc)2 was added water. Reaction took place spontaneously. The clear Bu2Sn(OAc)2 turned cloudy and a white solid formed.

To Bu2Sn(OAc)2 was added anhydrous methanol. NMR showed that no reaction took place (Figure 22).

[0122] d)

To Bu2Sn(OMe)2 was added water. Reaction took place spontaneously. The clear Bu2Sn(OMe)2 turned cloudy and a white solid formed.

To Bu2Sn(OMe)2 was added acetic acid. NMR shows that some -OMe group has been replaced by -OAc group (Figure 23).

[0123] e)

To Bu2Sn(NMe2)2 was added water. Reaction took place spontaneously. The clear Bu2Sn(NMe2)2 turned cloudy and a white solid formed.

To Bu2Sn(NMe2)2 was added Methanol. NMR shows that some -NMe2 group has been replaced by -OMe group (Figure 24).

Example 21 : Thermal Stability Tests

[0124] Thermal stability tests of compounds of Formula I were carried out in sealed glass ampoules, which were heated at a set temperature for 1 hr. NMR was performed to see if there had been any thermal decomposition. A visual check was also used, looking for solid formation after heat treatment. Figure 25 shows NMR of Me4Sn before and after heating at 200°C. There was no significant change after heating at 200°C for lhr based on both NMR and visual check.

[0125] Figure 26 shows NMR of Et 2 Sn(NMe2)2 before and after heating at 200°C. There was no significant change after heating at 200°C for lhr based on both NMR and visual check.

[0126] Figure 27 shows NMR of Me 2 Sn(NMe 2 )2 before and after heating at 150°C. There was no significant change after heating at 150°C for 24 hr based on both NMR and visual check.

[0127] Figure 28 shows the decomposition temperature of representative compounds of Formula I.

[0128] Table 1 below summarizes deposition and reactivity data for illustrative compounds of Formula I.

Table 1:

[0129] These results demonstrate that compounds of Formula I are thermally stable, showing that delivery of the compound to the deposition chamber will take place without observable decomposition occurring.

Example 22: SnC Deposition Using Me2Sn NMe2 2

[0130] Deposition of SnC was carried out using Me2Sn( Me2)2 and an oxidizing plasma between 40 and 180 °C with deposition rate of 1.4 to 0.8 A (angstrom) per cycle achieved at 40 and 180 °C respectively. Lower temperature deposition is used to reduce the damage of the underlying photo-resist, amorphous silicon or amorphous carbon layers.

[0131] It was also found that symmetric molecules, such as Me4Sn, has low reactivity and absorption characteristics to allow it to function as an efficient ALD precursor, resulting in only 0.1 A/cycle deprates. In particular embodiments, examples of molecules with improved effectiveness and efficiency are the asymmetric molecules with higher reactivity and absorption and surface reaction properties that lead to higher deprate films that rival the benchmark of 1 A per ALD cycle like is known for common S1O2 ALD precursors. Particular examples of asymmetric molecules include Me2Sn( Me2)2 and Me2Sn(NEtMe)2, where final deposition rates are 0.8 to 1.4 A/cycle depending on process conditions. The resulting cost reduction for moving to the more reactive molecules is on the order of 5-10 times cost reduction.

[0132] It was also found that keeping a single molecule of Me2Sn( Me2)2 stable is difficult at temperatures above 10°C. To improve stability and preventing decomposition, other Sn based compounds, for example MeSn(NMe2)3 or Sn( Me2)4 may be added in a mixture with

Multistage distillation

[0133] Various forms of multistage distillation are known in the chemical manufacturing industry, but have not been employed for the purification of organometallic materials that include tetramethy tin or other compounds of Formula I.

[0134] As illustrated by the schematic shown in Figure 29, multiple-effect or multistage distillation (MED) is a distillation process often used for sea water desalination. It consists of multiple stages or "effects". (In schematic in Figure 29 the first stage is at the top. Pink areas are vapor, lighter blue areas are liquid feed material. The turquoise represents condensate. It is not shown how feed material enters other stages than the first, however those should be readily understood. F - feed in. S - heating steam in. C - heating steam out. W - purified material (condensate) out. R - waste material out. O - coolant in. P - coolant out. VC is the last-stage cooler.) In each stage the feed material is heated by steam in tubes. Some of the feed material evaporates, and this steam flows into the tubes of the next stage, heating and evaporating more of the distillate. Each stage essentially reuses the energy from the previous stage.

[0135] The plant can be seen as a sequence of closed spaces separated by tube walls, with a heat source at one end and a heat sink at the other. Each space consists of two communicating subspaces, the exterior of the tubes of stage n and the interior of the tubes in stage n+1. Each space has a lower temperature and pressure than the previous space, and the tube walls have intermediate temperatures between the temperatures of the fluids on each side. The pressure in a space cannot be in equilibrium with the temperatures of the walls of both subspaces; it has an intermediate pressure. As a result, the pressure is too low or the temperature too high in the first subspace, and the feed material evaporates, i the second subspace, the pressure is too high or the temperature too low, and the vapor condenses. This carries evaporation energy from the warmer first subspace to the colder second subspace. At the second subspace the energy flows by conduction through the tube walls to the colder next space.

[0136] As shown by Table 2 below, purification of SnMe4 by multistage distillation results in a compound having significantly lower levels of impurities compared to that purified by conventional means.

Table 2:

Element Multistage Single stage Single stage Average single Delta Multi vs option 1 option 2 stage Single ppb ppb ppb ppb ppb % difference

Ag 5 10 5 7.5 -33%

Al 5 40 20 30 -83%

As 50 50 100 75 -33%

Au 10 10 5 7.5 33%

B 40 70 10 40 0%

Be 1 1 5 3 -67%

Bi 1 2 5 3.5 -71%

Ca 80 270 100 185 -57%

Cd 1 1 5 3 -67%

Co 0 1 5 3 -100%

Cr 2 3 5 4 -50%

Cu 4 12 5 8.5 -53%

Fe 11 31 10 20.5 -46%

Hf 0 0 5 2.5 -100%

K 30 30 20 25 20%

Li 2 5 50 27.5 -93%

Mg 8 35 50 42.5 -81%

Mn 0.5 0.5 5 2.75 -82%

Mo 0.5 1.8 5 3.4 -85%

Na 200 200 100 150 33%

Nb 0.5 0.5 5 2.75 -82%

N 150 150 5 77.5 94%

Pb 0.4 2.1 2 2.05 -80%

Pd 0.5 0.5 5 2.75 -82%

Pt 2 2 5 3.5 -43%

Rb 1 1 5 3 -67%

Re 0.5 0.5 5 2.75 -82%

Rh 0.5 0.5 5 2.75 -82%

Ru 0.5 0.5 5 2.75 -82%

Sb 20 120 250 185 -89% [0137] The use of the terms "a" and "an" and "the" and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms "comprising," "having," "including," and

"containing" are to be construed as open-ended terms (i.e., meaning "including, but not limited to,") unless otherwise noted. The term "connected" is to be construed as partly or wholly contained within, attached to, or joined together, even if there is something intervening.

[0138] The recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein.

[0139] All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language (e.g., "such as") provided herein, is intended merely to better illuminate embodiments of the invention and does not impose a limitation on the scope of the invention unless otherwise claimed. The various embodiments and elements can be interchanged or combined in any suitable manner as necessary.

[0140] No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the invention.

[0141] It will be apparent to those skilled in the art that various modifications and variations can be made to the present invention without departing from the spirit and scope of the invention. There is no intention to limit the invention to the specific form or forms disclosed, but on the contrary, the intention is to cover all modifications, alternative constructions, and equivalents falling within the spirit and scope of the invention, as defined in the appended claims. Thus, it is intended that the present invention cover the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents.