Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ORGANOSILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS
Document Type and Number:
WIPO Patent Application WO/2014/015232
Kind Code:
A1
Abstract:
Disclosed are Si-containing thin film forming precursors, methods of synthesizing the same, and methods of using the same to deposit silicon-containing films using vapor deposition processes for manufacturing semiconductors, photovoltaics, LCD-TFT, flat panel-type devices, refractory materials, or aeronautics.

Inventors:
DUSSARRAT CHRISTIAN (JP)
KUCHENBEISER GLENN (US)
PALLEM VENKATESWARA R (US)
Application Number:
PCT/US2013/051244
Publication Date:
January 23, 2014
Filing Date:
July 19, 2013
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
AIR LIQUIDE (FR)
DUSSARRAT CHRISTIAN (JP)
KUCHENBEISER GLENN (US)
PALLEM VENKATESWARA R (US)
International Classes:
C23C16/448; C23C16/24
Domestic Patent References:
WO2011103282A22011-08-25
Foreign References:
KR20120060843A2012-06-12
US20110250354A12011-10-13
US20090302434A12009-12-10
US20110045676A12011-02-24
Other References:
See also references of EP 2875166A4
Attorney, Agent or Firm:
MCQUEENEY, Patricia E. et al. (LLC2700 Post Oak Blvd.,Suite 32, Houston Texas, US)
Download PDF:
Claims:
What is claimed is: 1. A Si-containing thin film forming pr r r h ving the following formula:

wherein R1 and R2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle group and R3 may be H, a C1 to C6 alkyl group, a C3- C20 aryl or heterocycle group, an amino group, an alkoxy group, or a halogen. 2. The Si-containing thin film forming precursor of claim 1 , having the following formula:

wherein R1 and R2 may each independently be a C1 to C6 alkyl group. 3. The Si-containing thin film forming precursor of claim 2, wherein the molecule is SiH3(NiPr-amd). 4. The Si-containing thin film forming precursor of claim 1 , having the following formula:

wherein R1, R2 , R3 and R4 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle. 5. The Si-containing thin film forming precursor of claim 1 , having the following formula:

wherein R1, R2, and R3 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle. 6. The Si-containing thin film forming precursor of claim 1 , having the following formula:

wherein R1 and R2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle and X may be Cl, Br, I, or F. 7. A method of depositing a Si-containing layer on a substrate, the method comprising:

Introducing at least one organosilane precursor of claims 1 to 6 into a reactor having at least one substrate disposed therein;

depositing at least part of the organosilane precursor onto the at least one substrate to form a Si-containing layer using a vapor deposition method. 8. The method of claim 7, further comprising introducing into the reactor at least one co-reactant. 9. The method of claim 8, wherein the co-reactant is selected from the group consisting of O2, O3, H2O, H2O2, NO, NO2, a carboxylic acid, radicals thereof, and combinations thereof, preferably plasma treated oxygen or ozone. 10. The method of claim 8, wherein the co-reactant is selected from the group consisting of H2, NH3, (SiH3)3N, hydridosilanes (such as SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), chlorosilanes and chloropolysilanes (such as SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), alkysilanes (such as Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), hydrazines (such as N2H4, MeHNNH2, MeHNNHMe), organic amines (such as NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), pyrazoline, pyridine, B-containing molecules (such as B2H6, 9-borabicylo[3,3,1 ]none, trimethylboron, triethylboron, borazine), alkyl metals (such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, and mixtures thereof. 11. The method of claim 10, wherein the co-reactant is selected from the group consisting of H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, hydrogen radicals thereof, and mixtures thereof. 12. The method of claim 10, wherein the co-reactant is selected from the group consistin of SiHCl3, Si2Cl6, Si2HCl5, Si2H2Cl4., and cyclo-Si6H6Cl6. 13. The method of claim 7, wherein the vapor deposition process is a chemical vapor deposition process. 14. The method of claim 7, wherein the vapor deposition process is an atomic layer deposition process.

Description:
ORGANOSILANE PRECURSORS FOR

ALD/CVD SILICON-CONTAINING FILM APPLICATIONS Cross-Reference to Related Applications

This application claims priority to U.S. provisional application No.

61 /674,103, filed July 20, 2012, the entire contents of which are incorporated herein by reference. Technical Field

Disclosed are Si-containing thin film forming precursors, methods of synthesizing the same, and methods of using the same to deposit silicon- containing films using vapor deposition processes for manufacturing

semiconductors, photovoltaics, LCD-TFT, flat panel-type devices, refractory materials, or aeronautics. Background

Si-containing thin films are used widely in the semiconductor, photovoltaic, LCD-TFT, flat panel-type device, refactory material, or aeronautic industries. Si- containing thin films may be used, for example, as dielectric materials having electrical properties which may be insulating (SiO 2 , SiN, SiCN, SiCOH, MSiOx, wherein M is Hf, Zr, Ti, Nb, Ta, or Ge and x is greater than zero), Si-containing thin films may be used as conducting films, such as metal silicides or metal silicon nitrides. Due to the strict requirements imposed by downscaling of electrical device architectures towards the nanoscale (especially below 28nm node), increasingly fine-tuned molecular precursors are required which meet the requirements of volatility (for ALD process), lower process temperatures, reactivity with various oxidants and low film contamination, in addition to high deposition rates, conformality and consistency of films produced.

It is well known that silane (SiH 4 ) can be used for thermal CVD. However this molecule is pyrophoric which makes this room temperature gas a challenge to handle safely. CVD methods employing halosilanes (such as dichlorosilane

SiH 2 Cl 2 ) have been used. However, these may require long purge times, cause halogen contamination of the films and particle formation (from ammonium chloride salts), and even damage certain substrates resulting in undesirable interfacial layer formation. Partially replacing halogen with alkyl groups may yield some improvement, but at a cost of detrimental carbon contamination within the film.

Organoaminosilanes have been used as precursors for CVD of Si- containing films. US 7192626 to Dussarrat et al. reports the use of trisilylamine N(SiH 3 ) 3 for deposition of SiN films. Other reported precursors include

diisopropylaminosilane [SiH 3 (NiPr 2 )] and analogous SiH 3 (NR 2 ) compounds (see, e.g., US 7875312 to Thridandam et al.) and phenylmethylaminosilane

[SiH 3 (NPhMe)] and related substituted silylanilines (see, e.g., EP 2392691 to Xiao et al.).

Another related class of Si precursors for CVD of Si-containing films is given by the general formula (R 1 R 2 N) x SiH 4-x wherein x is between 1 and 4 and the R substituents are independently H, C1 -C6 linear, branched, or cyclic carbon chains (see, e.g., WO2006/097525 to Dussarrat et al.).

Hunks et al. disclose a wide range of Si-containing precursors in

US2010/0164057, including silicon compounds having the formula R 4-x SiL x , wherein x is an integer having a value from 1 to 3; R may be selected from H, branched and unbranched C1 -C6 alkyl, C3-C8 cycloalkyl, and C6-C13 aryl groups; and L may be selected from isocyanato, methylethylketoxime,

trifluoroacetate, triflate, acyloxy, β-diketiminate, β-di-iminate, amidinate, guanidinate, alkylamino, hydride, alkoxide, or formate ligands. Pinnavaia et al. claim a method for the preparation of a porous synthetic, semi-crystalline hybrid organic-inorganic silicon oxide composition from silicon acetylacetonate and silicon 1 ,3-diketonate precursors (US6465387).

Despite the wide range of choices available for the deposition of Si containing films, additional precursors are continuously sought to provide device engineers the ability to tune manufacturing process requirements and achieve films with desirable electrical and physical properties. Notation and Nomenclature

Certain abbreviations, symbols, and terms are used throughout the following description and claims, and include: As used herein, the indefinite article“a” or“an” means one or more.

As used herein, the term“independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula MR 1 x (NR 2 R 3 ) (4-x) , where x is 2 or 3, the two or three R 1 groups may, but need not be identical to each other or to R 2 or to R 3 . Further, it should be understood that unless specifically stated otherwise, values of R groups are independent of each other when used in different formulas.

As used herein, the term“alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.

As used herein, the term“aryl” refers to aromatic ring compounds where one hydrogen atom has been removed from the ring. As used herein, the term “heterocycle” refers to a cyclic compound that has atoms of at least two different elements as members of its ring.

As used herein, the abbreviation“Me” refers to a methyl group; the abbreviation“Et” refers to an ethyl group; the abbreviation“Pr” refers to any propyl group (i.e., n-propyl or isopropyl); the abbreviation“iPr” refers to an isopropyl group; the abbreviation“Bu” refers to any butyl group (n-butyl, iso-butyl, t-butyl, sec-butyl); the abbreviation“tBu” refers to a tert-butyl group; the abbreviation “sBu” refers to a sec-butyl group; the abbreviation“iBu” refers to an iso-butyl group; the abbreviation“Ph” refers to a phenyl group; the abbreviation“Am” refers to any amyl group (iso-amyl, sec-amyl, tert-amyl); the abbreviation“Cy” refers to a cyclic alkyl group (cyclobutyl, cyclopentyl, cyclohexyl, etc.); and the abbreviation “R-amd” refers to an R-N-C(Me)-N-R amidinate ligand, with R being an alkyl group (e.g., iPr-amd is iPr-N-C(Me)-N-iPr). As used herein, the acronym“SRO” stands for a Strontium Ruthenium Oxide film; the acronym“HCDS” stands for hexachlorodisilane; and the acronym “PCDS” stands for pentachlorodisilane.

The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, etc.). Brief Description of the Drawings

For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which like elements are given the same or analogous reference numbers and wherein:

FIG 1 is thermogravimetric analysis (TGA) graph demonstrating the percentage of weight loss with temperature change for SiH 3 (N i Pr-amd) and SiH 3 (N tBu -amd) as compared to that of DiPAS (diispropylaminosilane); and

FIG 2 is a graph demonstrating precursor introduction time versus deposition rate and refractive index. Summary

Disclosed are organosilane molecules havin the following formula:

wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle group and R 3 may be H, a C1 to C6 alkyl group, a C3- C20 aryl or heterocycle group, an amino group, an alkoxy group, or a halogen; • R 1 and R 2 and/or R 2 and R 3 being joined to form cyclic chains;

• the organosilane molecule having the following formula:

wherein R 1 and R 2 may each independently be a C1 to C6 alkyl group;

• the organosilane molecule being H 3 Si(N i Pr-amd);

• the organosilane molecule having the following formula:

wherein R 1 , R 2 , R 3 and R 4 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle;

• the organosilane molecule being H 3 Si(-( i Pr)N-C(NMe 2 )-N( i Pr)-);

• the organosilane molecule having the following formula:

wherein R 1 , R 2 , and R 3 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle;

• the organosilane molecule being H 3 Si(-(iPr)N-C(OMe)-N(iPr)-);

• the organosilane molecule having the following formula:

wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle and X may be Cl, Br, I, or F; and

• the organosilane molecule being H 3 Si(-(iPr)N-C(Cl)-N(iPr)-).

Also disclosed are Si-containing thin film forming precursors having the following formula: wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle group and R 3 may be H, a C1 to C6 alkyl group, a C3- C20 aryl or heterocycle group, an amino group, an alkoxy group, or a halogen; • R 1 and R 2 and/or R 2 and R 3 being joined to form cyclic chains;

• the Si-containing thin film formin recursor having the following formula:

wherein R 1 and R 2 may each independently be a C1 to C6 alkyl group;

• the Si-containing thin film forming precursor being H 3 Si(N i Pr-amd);

• the Si-containing thin film formin recursor having the following formula:

wherein R 1 , R 2 , R 3 and R 4 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle;

• the Si-containing thin film forming precursor being H 3 Si(-( i Pr)N-C(NMe 2 )- N( i Pr)-);

• the Si-containing thin film forming recursor having the following formula:

wherein R 1 , R 2 , and R 3 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle; and

• the Si-containing thin film forming precursor being H 3 Si(-(iPr)N-C(OMe)- N(iPr)-); • the Si-containing thin film forming pr r r h ving the following formula:

wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle and X may be Cl, Br, I, or F; and

• the Si-containing thin film forming precursor being H 3 Si(-(iPr)N-C(Cl)- N(iPr)-).

Also disclosed are methods of depositing a Si-containing layer on a substrate.

At least one organosilane precursor disclosed above is introduced into a reactor having at least one substrate disposed therein. At least part of the organosilane precursor is deposited onto the at least one substrate to form a Si-containing layer using a vapor deposition method. The disclosed methods may have one or more of the following aspects:

• introducing into the reactor a vapor comprising at least one second

precursor;

• an element of the at least one second precursor being selected from the group consisting of group 2, group 13, group 14, transition metal, lanthanides, and combinations thereof;

• the element of the at least one second precursor being selected from Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y, or lanthanides;

• introducing into the reactor at least one co-reactant;

• the co-reactant being selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , NO, NO 2 , a carboxylic acid, radicals thereof, and combinations thereof;

• the co-reactant being plasma treated oxygen;

• the co-reactant being ozone;

• the Si-containing layer being a silicon oxide layer;

• the co-reactant being selected from the group consisting of H 2 , NH 3 ,

(SiH 3 ) 3 N, hydridosilanes (such as SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12 ), chlorosilanes and chloropolysilanes (such as SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 ), alkysilanes (such as Me 2 SiH 2 , Et 2 SiH 2 , MeSiH 3 , EtSiH 3 ), hydrazines (such as N 2 H 4 , MeHNNH 2 , MeHNNHMe), organic amines (such as NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3 ) 2 NH), pyrazoline, pyridine, B-containing molecules (such as B 2 H 6 , 9- borabicylo[3,3,1 ]none, trimethylboron, triethylboron, borazine), alkyl metals (such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, and mixtures thereof.

• the co-reactant being selected from the group consisting of H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, and mixtures thereof;

• the co-reactant being plasma-treated;

• the co-reactant being remote plasma-treated;

• the co-reactant not being plasma-treated;

• the co-reactant being H 2 ;

• the co-reactant being NH 3 ;

• the co-reactant being HCDS;

• the co-reactant being PCDS;

• the co-reactant being tetrachlorosilane;

• the co-reactant being trichlorosilane;

• the co-reactant being hexachlorocyclohexasilane;

• the vapor deposition process being a chemical vapor deposition process; • the vapor deposition process being an atomic layer deposition (ALD)

process;

• the vapor deposition process being a spatial ALD process;

• the silicon-containing layer being Si;

• the silicon-containing layer being SiO 2 ;

• the silicon-containing layer being SiN;

• the silicon-containing layer being SiON;

• the silicon-containing layer being SiCN; and

• the silicon-containing layer being SiCOH. Description of Preferred Embodiments

Disclosed are Si-containing thin film forming precursors, methods of synthesizing the same, and methods of using the same to deposit silicon- containing films using vapor deposition processes for manufacturing

semiconductors, photovoltaics, LCD-TFT, flat panel-type devices, refractory materials, or aeronautics.

The disclosed organosilane precur r h v he following formula:

wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle group and R 3 may be H, a C1 to C6 alkyl group, a C3- C20 aryl or heterocycle group, an amino group, an alkoxy group, or a halogen. R 1 and R 2 and/or R 2 and R 3 may be joined to form cyclic chains.

As illustrated in the formula, the nitrogen atoms are bonded to the silicon atom, resulting in a pentacoordinate Si(IV) center. The carbon atom in the backbone of the bidentate monoanionic ligand is sp 2 hybridized, resulting in a delocalized charge across the ligand. Each of the nitrogen and carbon atoms may independently be substituted by H, C1 -C6 alkyl groups, aryl groups, or

heterocycle groups.

The disclosed organosilane precursors may be more reactive than other R 4-x SiL x precursors due to hypercoordination at the silicon atom. In other words, although the silicon atom is +IV, the three hydrogen bonds and the monoanionic chelating ligand results in a total of 5 bonds to the silicon atom.

One of ordinary skill in the art will recognize that the the use of hydrogen or alkyl, aryl or heterocycle groups having less carbon atoms (i.e., H, C1 or C2) in any of the R groups will produce a molecule having higher volatility as compared to a molecule having alkyl, aryl or heterocycle groups with more carbons (i.e., C4+). Due to their increased nitrogen content from the two nitrogen atoms on the –N-C-N- ligand, these molecules may be used to produce silicon-containing films that also contain nitrogen, such as SiN, SiCN, SiON, MSiN, or MSiON, whererin M is an element such as Hf, Zr, Ti, Nb, Ta, or Ge, or to tune the amount of nitrogen in those films.

When R 3 is Me, the resulting precursor is an amidinate containing compound having the following formula:

wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3- C20 aryl or heterocycle group. Preferably, R1 and R2 are each independently a C1 to C6 alkyl group.

The amidinate precursors may be synthesized by combining a hydrocarbon solution of SiXH 3 , wherein X is Cl, Br, I, or triflate (SO 3 CF - 3), with a neat or hydrocarbon solution of the ligand compound, such as Li[R 1 NC(R 2 )NR 3 ] (or

Li(amd)), under atmosphere of nitrogen, the outlet of the mixing flask being connected to an oil bubbler to inhibit backflow of air and moisture.

A second synthetic route to the disclosed amidinate precursors is by reaction of the protonated ligand (R 1 N=C(R 2 )-NRH 3 ) with either a neat or a hydrocarbon solution of a dialkylaminosilane [SiH 3 (NR 2 )] performed under an inert atmosphere.

Alternatively, the disclosed amidinate precursors may be synthesized by reaction of SiH n Cl 4-n with a single equivalent of the ligand compound (i.e.,

Li[R 1 NC(R 2 )NR 3 ] or Li(amd)) and subsequent reduction using a selected metal hydride, such as LAH (lithium aluminum hydride).

In all three synthesis routes, the resulting solution may be stirred at room temperature overnight. Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene. The resulting suspension is filtered and the resulting solution distilled to remove solvent.

Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively. Except for the ligand compounds Li(amd), all of the starting materials are commercially available. The ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., alkyl lithium) to a hydrocarbon solution of the appropriate carbodiimide (i.e., R 1 N=C=NR 3 ). Additional synthesis details are provided in the Examples. Exemplary amidinate precursors include:

11

Preferably, the amidinate precursor is SiH 3 (N i Pr-amd).

When R 3 is amino group (i.e., NR 3 R 4 ), the resulting precursor is a guanidinate containing compound having the following formula:

wherein R 1 , R 2 , R 3 , and R 4 may each independently be H, a C1 to C6 alkyl group, C3-C20 aryl, or heterocycle. Due to their increased nitrogen content when compared to the other molecules, these molecules may be used to produce silicon-containing films that also contain nitrogen, such as SiN or SiON, or to tune the amount of nitrogen in a SiN or SiON containing film. The guanidinate precursors may be synthesized by combining a

hydrocarbon solution of SiXH 3 , wherein X is Cl, Br, I, or triflate (SO 3 CF - 3), with a neat or hydrocarbon solution of the ligand compound, such as Li[R 1 NC(NR 3 R 4 )NR 2 ] (or Li(gnd)), under atmosphere of nitrogen, the outlet of the mixing flask being connected to an oil bubbler to inhibit backflow of air and moisture.

A second synthetic route to the disclosed guanidinate precursors is by reaction of the protonated ligand (R 1 N=C(NR 3 R 4 )-NR 2 H) with either a neat or a hydrocarbon solution of a dialkylaminosilane [SiH 3 (NR 2 )] performed under an inert atmosphere.

Alternatively, the disclosed guanidinate precursors may be synthesized by reaction of SiH n Cl 4-n with a single equivalent of the ligand compound (i.e.,

Li[R 1 NC(NR 3 R 4 )NR 2 ] or Li(gnd)) and subsequent reduction using a selected metal hydride, such as LAH (lithium aluminum hydride).

In all three synthesis routes, the resulting solution may be stirred at room temperature overnight. Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene. The resulting suspension is filtered and the resulting solution distilled to remove solvent.

Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively. Except for the ligand compounds Li(gnd), all of the starting materials are commercially available. The ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., lithium amide–

Li(NR 3 R 4 )) to a hydrocarbon solution of the appropriate carbodiimide (i.e.,

R 1 N=C=NR 2 ).

Exemplary guanidinate precursors include:

Preferably, the guanidinate precursor is H 3 Si(-( i Pr)N-C(NMe 2 )-N( i Pr)-). When R 3 is an alkoxy group (i.e., OR 3 ), the resulting precursor is an isoureate containing compound having the following formula:

wherein R 1 , R 2 , and R 3 may each independently be H, a C1 to C6 alkyl group, or a C3-C20 aryl or heterocycle. Due to their increased oxygen content when compared to the other molecules, these molecules may be used to produce silicon-containing films that also contain oxygen, such as SiO 2 or SiON, or to tune the amount of oxygen in a SiO 2 or SiON containing film.

The isoureate precursors may be synthesized by combining a hydrocarbon solution of SiXH 3 , wherein X is Cl, Br, I, or triflate (SO 3 CF - 3), with a neat or hydrocarbon solution of the ligand compound, such as Li[R 1 NC(OR 3 )NR 2 ] (or Li(iso)), under atmosphere of nitrogen, the outlet of the mixing flask being connected to an oil bubbler to inhibit backflow of air and moisture. A second synthetic route to the disclosed isoureate precursors is by reaction of the protonated ligand (R 1 N=C(OR 3 )-NR 2 H) with either a neat or a hydrocarbon solution of a dialkylaminosilane [SiH 3 (NR 2 )] performed under an inert atmosphere.

Alternatively, the disclosed isoureate precursors may be synthesized by reaction of SiH n Cl 4-n with a single equivalent of the ligand compound (i.e.,

Li[R 1 NC(OR 3 )NR 2 ] or Li(iso)) and subsequent reduction using a selected metal hydride, such as LAH (lithium aluminum hydride).

In all three synthesis routes, the resulting solution may be stirred at room temperature overnight. Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene. The resulting suspension is filtered and the resulting solution distilled to remove solvent.

Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively. Except for the ligand compounds Li(iso), all of the starting materials are commercially available. The ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., lithium alkoxide– Li(OR 3 )) to a hydrocarbon solution of the appropriate carbodiimide (i.e.,

R 1 N=C=NR 2 ).

Exemplary isoureate precursors include:

Preferably, the isoureate precuror is H 3 Si(-(iPr)N-C(OMe)-N(iPr)-).

When R 3 is a halogen (i.e., X), the resulting precursor is a α-haloamidinate containing compound having the following f rm l :

wherein R 1 and R 2 may each independently be H, a C1 to C6 alkyl group, or a C3- C20 aryl or heterocycle and X may be Cl, Br, I, or F. The halogen atom may improve conformality in atomic layer deposition of amorphous silicon.

The alpha-haloamidinate precursors may be synthesized by combining a hydrocarbon solution of a di-substituted urea derivative R 1 HN-(C=O)-NHR 2 with a hydrocarbon solution of O=CX 2 , as described by Neubauer et al. (Chemische Berichte, 1964, 97(5), 1232-1245). A hydrocarbon solution of one molar equivalent of an appropriate base (such as potassium hexamethyldisilazide) is added to the reaction mixture and the resulting suspension filtered to remove metal salt byproducts. The resulting solution may be reacted with SiRH 3 , wherein R is phenyl, tolyl, or other appropriate aryl substituent. The resulting mixture may be purified by fractional distillation. Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene. All of the starting materials are commercially available. Exemplary alpha-haloamidinate precursors include:

Preferably, the alpha-haloamidinate is H 3 Si(-(iPr)N-C(Cl)-N(iPr)-).

Also disclosed are methods of using the disclosed organosilane precursors for vapor deposition methods. The disclosed methods provide for the use of the organosilane precursors for deposition of silicon-containing films. The disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD- TFT, or flat panel type devices. The method includes: providing a substrate; providing a vapor including at least one of the disclosed organosilane precursors: and contacting the vapor with the substrate (and typically directing the vapor to the substrate) to form a silicon-containing layer on at least one surface of the substrate. The disclosed methods also provide for forming a bimetal-containing layer on a substrate using a vapor deposition process and, more particularly, for deposition of SiMO x films wherein x is 4 and M is Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanides (such as Er), or combinations thereof. The disclosed methods may be useful in the manufacture of semiconductor,

photovoltaic, LCD-TFT, or flat panel type devices. The method includes: providing a substrate; providing a vapor including at least one of the disclosed organosilane precursors and contacting the vapor with the substrate (and typically directing the vapor to the substrate) to form a bi metal-containing layer on at least one surface of the substrate. An oxygen source, such as O 3 , O 2 , H 2 O, NO, H 2 O 2 , acetic acid, formalin, para-formaldehyde, oxygen radicals thereof, and combinations thereof, but preferably O 3 or plasma treated O 2 may also be provided with the vapor.

The disclosed organosilane precursors may be used to deposit silicon- containing films using any deposition methods known to those of skill in the art.

Examples of suitable deposition methods include without limitation, conventional chemical vapor deposition (CVD), low pressure chemical vapor deposition

(LPCVD), atomic layer deposition (ALD), pulsed chemical vapor deposition (P- CVD), thermal ALD, thermal CVD, plasma enhanced atomic layer deposition (PE- ALD), plasma enhanced chemical vapor deposition (PE-CVD), spatial ALD, or combinations thereof. Preferably, the deposition method is ALD, spatial ALD, or PE-ALD.

The vapor of the organosilane precursor is introduced into a reaction chamber containing at least one substrate. The temperature and the pressure within the reaction chamber and the temperature of the substrate are held at conditions suitable for vapor deposition of at least part of the organosilane precursor onto the substrate. In other words, after introduction of the vaporized precursor into the chamber, conditions within the chamber are such that at least part of the vaporized precursor is deposited onto the substrate to form the silicon- containing film. A co-reactant may also be used to help in formation of the Si- containing layer.

The reaction chamber may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems. All of these exemplary reaction chambers are capable of serving as an ALD reaction chamber. The reaction chamber may be maintained at a pressure ranging from about 0.5 mTorr to about 20 Torr. In addition, the temperature within the reaction chamber may range from about 20°C to about 600°C. One of ordinary skill in the art will recognize that the temperature may be optimized through mere

experimentation to achieve the desired result.

The temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art. The reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 20°C to approximately 600°C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 20°C to approximately 550°C. Alternatively, when a thermal process is performed, the deposition temperature may range from approximately 300°C to approximately 600°C.

Alternatively, the substrate may be heated to a sufficient temperature to obtain the desired silicon-containing film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the substrate may be heated includes from 150°C to 600°C.

Preferably, the temperature of the substrate remains less than or equal to 500°C.

The type of substrate upon which the silicon-containing film will be deposited will vary depending on the final use intended. In some embodiments, the substrate may be a patterned photoresist film made of hydrogenated carbon, for example CH x , wherein x is greater than zero. In some embodiments, the substrate may be chosen from oxides which are used as dielectric materials in MIM, DRAM, or FeRam technologies (for example, ZrO 2 based materials, HfO 2 based materials, TiO 2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride-based films (for example, TaN) that are used as an oxygen barrier between copper and the low-k layer. Other substrates may be used in the manufacture of semiconductors, photovoltaics, LCD-TFT, or flat panel devices. Examples of such substrates include, but are not limited to, solid substrates such as metal nitride containing substrates (for example, TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); insulators (for example, SiO 2 , Si 3 N 4 , SiON, HfO 2 , Ta 2 O 5 , ZrO 2 , TiO 2 , Al 2 O 3 , and barium strontium titanate); or other substrates that include any number of combinations of these materials. The actual substrate utilized may also depend upon the specific precursor embodiment utilized. In many instances though, the preferred substrate utilized will be selected from hydrogenated carbon, TiN, SRO, Ru, and Si type substrates, such as polysilicon or crystalline silicon substrates.

The disclosed organosilane precursors may be supplied either in neat form or in a blend with a suitable solvent, such as toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, tertiary amines, acetone, tetrahydrofuran, ethanol, ethylmethylketone, 1 ,4-dioxane, or others. The disclosed precursors may be present in varying concentrations in the solvent. For example, the resulting concentration may range from approximately 0.05 M to approximately 2 M.

The neat or blended organosilane precursors are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters. The precursor in vapor form may be produced by vaporizing the neat or blended precursor solution through a conventional vaporization step such as direct vaporization, distillation, by bubbling, or by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al. The neat or blended precursor may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor. Alternatively, the neat or blended precursor may be vaporized by passing a carrier gas into a container containing the precursor or by bubbling the carrier gas into the precursor. The carrier gas may include, but is not limited to, Ar, He, or N 2 , and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the neat or blended precursor solution. The carrier gas and precursor are then introduced into the reactor as a vapor.

If necessary, the container may be heated to a temperature that permits the organosilane precursor to be in its liquid phase and to have a sufficient vapor pressure. The container may be maintained at temperatures in the range of, for example, 0-150°C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of

organosilane precursor vaporized.

In addition to the disclosed precursor, a reaction gas may also be introduced into the reactor. The reaction gas may be an oxidizing agent such as one of O 2 ; O 3 ; H 2 O; H 2 O 2 ; oxygen containing radicals such as O· or OH·; NO; NO 2 ; carboxylic acids such as formic acid, acetic acid, propionic acid; radical species of NO, NO 2 , or the carboxylic acids; para-formaldehyde; and mixtures thereof. Preferably, the oxidizing agent is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , oxygen containing radicals thereof such as O· or OH·, and mixtures thereof. Preferably, when an ALD process is performed, the co-reactant is plasma treated oxygen, ozone, or combinations thereof. When an oxidizing gas is used, the resulting silicon containing film will also contain oxygen.

Alternatively, the reaction gas may be a reducing agent such as one of H 2 , NH 3 , (SiH 3 ) 3 N, hydridosilanes (such as SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12 ), chlorosilanes and chloropolysilanes (such as SiHCl 3 , SiH 2 Cl 2 , SIH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 ), alkylsilanes (such as (CH 3 ) 2 SiH 2 , (C 2 H 5 ) 2 SiH 2 , (CH 3 )SiH 3 , (C 2 H 5 )SiH 3 ), hydrazines (such as N 2 H 4 , MeHNNH 2 , MeHNNHMe), organic amines (such as N(CH 3 )H 2 , N(C 2 H 5 )H 2 , N(CH 3 ) 2 H, N(C 2 H 5 ) 2 H, N(CH 3 ) 3 , N(C 2 H 5 ) 3 ,

(SiMe 3 ) 2 NH), pyrazoline, pyridine, B-containing molecules (such as B 2 H 6 , 9- borabicyclo[3,3,1 ]none, trimethylboron, triethylboron, borazine), alkyl metals (such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, and mixtures thereof. Preferably, the reducing agent is H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, or mixtures thereof. When a reducing agent is used, the resulting silicon containing film may be pure Si.

The reaction gas may be treated by a plasma, in order to decompose the reaction gas into its radical form. N 2 may also be utilized as a reducing agent when treated with plasma. For instance, the plasma may be generated with a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 200 W. The plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system. One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.

The disclosed organosilane precursors may also be used with a halosilane or polyhalodisilane, such as hexachlorodisilane pentachlorodisilane, or

tetrachlorodisilane, and one or more co-reactant gases to form SiN or SiCN films, as disclosed in PCT Publication Number WO2011 /123792, the entire contents of which are incorporated herein in their entireties.

When the desired silicon-containing film also contains another element, such as, for example and without limitation, Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanides (such as Er), or combinations thereof, the co- reactants may include a metal-containing precursor which is selected from, but not limited to, metal alkyls, such as Ln(RCp) 3 or Co(RCp) 2 , metal amines, such as Nb(Cp)(NtBu)(NMe 2 ) 3 and any combination thereof.

The organosilane precursor and one or more co-reactants may be introduced into the reaction chamber simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations. For example, the organosilane precursor may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse [modified atomic layer deposition]. Alternatively, the reaction chamber may already contain the co- reactant prior to introduction of the organosilane precursor. The co-reactant may be passed through a plasma system localized or remotely from the reaction chamber, and decomposed to radicals. Alternatively, the organosilane precursor may be introduced to the reaction chamber continuously while other metal sources are introduced by pulse (pulsed-chemical vapor deposition). In each example, a pulse may be followed by a purge or evacuation step to remove excess amounts of the component introduced. In each example, the pulse may last for a time period ranging from about 0.01 s to about 10 s, alternatively from about 0.3 s to about 3 s, alternatively from about 0.5 s to about 2 s. In another alternative, the organosilane precursor and one or more co-reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).

In one non-limiting exemplary atomic layer deposition type process, the vapor phase of an organosilane precursor is introduced into the reaction chamber, where it is contacted with a suitable substrate. Excess organosilane precursor may then be removed from the reaction chamber by purging and/or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber where it reacts with the absorbed organosilane precursor in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If the desired film is a silicon oxide film, this two- step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.

Alternatively, if the desired film is a silicon metal oxide film (i.e., SiMO x , wherein x may be 4 and M is Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanides (such as Er), or combinations thereof), the two-step process above may be followed by introduction of a second vapor of a metal-containing precursor into the reaction chamber. The metal-containing precursor will be selected based on the nature of the silicon metal oxide film being deposited. After introduction into the reaction chamber, the metal-containing precursor is contacted with the substrate. Any excess metal-containing precursor is removed from the reaction chamber by purging and/or evacuating the reaction chamber. Once again, an oxygen source may be introduced into the reaction chamber to react with the metal- containing precursor. Excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the organosilane precursor, metal-containing precursor, and oxygen source, a film of desired composition and thickness can be deposited.

Additionally, by varying the number of pulses, films having a desired stoichiometric M:Si ratio may be obtained. For example, a SiMO 2 film may be obtained by having one pulse of the organosilane precursor and one pulses of the metal-containing precursor, with each pulse being followed by pulses of the oxygen source. However, one of ordinary skill in the art will recognize that the number of pulses required to obtain the desired film may not be identical to the stoichiometric ratio of the resulting film.

In another alternative, Si or dense SiCN films may be deposited via an ALD or modified ALD process using the disclosed compounds and a halosilane compound having the formula Si a H 2a+2-b X b , wherein X is F, Cl, Br, or I; a=1 through 6; and b=1 through (2a+2); or a cyclic halosilane compound having the formula– Si c H 2c-d X d -, wherein X is F, Cl, Br, or I; c=3-8; and d=1 through 2c. Preferably the halosilane compound is trichlorosilane, hexachlorodisilane (HCDS),

pentachlorodisilane (PCDS), tetrachlorodisilane, or hexachlorocyclohexasilane. One of ordinary skill in the art will recognize that the Cl in these compounds may be substituted by Br or I when lower deposition temperatures are necessary, due to the lower bond energy in the Si-X bond (i.e., Si-Cl = 456 kJ/mol; Si-Br = 343 kJ/mol; Si-I = 339 kJ/mol). If necessary, the deposition may further utilize an N-containing co- reactant, such as NH 3 . Vapors of the disclosed precursors and the halosilane compounds may be introduced sequentially or simultaneously into the reactor, depending on the desired concentration of the final film. The selected sequence of precursor injection will be determined based upon the desired film composition targeted. The precursor introduction steps may be repeated until the deposited layer achieves a suitable thickness. One of ordinary skill in the art will recognize that the introductory pulses may be simultaneous when using a spatial ALD device. As described in PCT Pub No WO2011 /123792, the order of the introduction of the precursors may be varied and the deposition may be performed with or without the NH 3 co-reactant in order to tune the amounts of carbon and nitrogen in the SiCN film.

The silicon-containing films resulting from the processes discussed above may include Si, SiO 2 , SiN, SiON, SiCN, SiCOH, or MSiO x , whererin M is an element such as Hf, Zr, Ti, Nb, Ta, or Ge, and x may be 4, depending of course on the oxidation state of M. One of ordinary skill in the art will recognize that by judicial selection of the appropriate organosilane precursor and co-reactants, the desired film composition may be obtained.

Upon obtaining a desired film thickness, the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure. Those skilled in the art recognize the systems and methods utilized to perform these additional processing steps. For example, the silicon-containing film may be exposed to a temperature ranging from approximately 200°C and approximately 1000°C for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, a H-containing atmosphere, a N-containing atmosphere, an O- containing atmosphere, or combinations thereof. Most preferably, the temperature is 600°C for less than 3600 seconds under a H-containing atmosphere. The resulting film may contain fewer impurities and therefore may have improved performance characteristics. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post- treatment methods, but especially thermal annealing, has been found effective to reduce carbon and nitrogen contamination of the silicon-containing film. Examples

The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein. Example 1

Synthesis of SiH 3 (N i Pr-amd): With stirring, a diethyl ether solution of methyl lithium (91 mL, 1.6M, 0.146mol) is slowly added to a -40 °C solution of N,N’- diisopropylcarbodiimide (22.5mL, 0.145mol) in diethyl ether (150mL). After the addition is completed, the resulting colorless suspension is allowed to warm to room temperature and stirred for three hours to form a colorless solution. A separate flask is equipped with a -78 °C (dry ice/acetone) condensor, charged with diethyl ether (100mL) and cooled to -78 °C. With stirring, monochlorosilane (13.2g, 0.198mol) is slowly condensed into the second flask, followed by slow addition of lithium amidinate solution from the first step. Initially some fuming was observed followed by formation of a colorless precipitate. After completing the addition, the suspension was brought to room temperature slowly with vigorous stirring overnight. The suspension is filtered over a medium glass frit containing a pad of Celite and the resulting colorless solution distilled at atmospheric pressure using Vigreux column to remove solvent. The receiving flask is replaced and cooled in -78 °C bath, the desired product is distilled at 25-28 °C/200-300mTorr as a colorless liquid. Yield: 7.9g (31.6%). FIG 1 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor as compared to that of DiPAS. 29 Si NMR (80MHz, C 6 D 6 , 25 °C) δ(ppm) = -87.7; 1 H NMR (400MHz, C 6 D 6 , 25 °C) δ(ppm) = 4.73 (s, 3H, SiH 3 ), 3.38 (sept., 2H, 1 J H-H = 8.0Hz, NCH(CH 3 ) 2 ), 1.40 (s, 3H, CCH 3 ), 1.12 (d, 12H, 1 J H-H = 8.0Hz, NCH(CH 3 ) 2 ). Example 2

Synthesis of SiH 3 (N t Bu-amd): A flask is equipped with a -78 °C (dry ice/acetone) condensor, charged with diethyl ether (100mL) and cooled to -78 °C. With stirring, monochlorosilane (8.9g, 0.134 mol) is slowly condensed into the flask. In a second flask, a diethyl ether solution of methyl lithium (101 mL, 1.6M, 0.162 mol) is slowly added to a -40 °C solution of N,N’-di-tertbutylcarbodiimide (25g, 0.162 mol) in diethyl ether (100mL). After the addition is completed, the resulting colorless suspension of lithium amidinate is allowed to warm to room temperature and stirred for one hour to form a colorless solution. The resulting Li-amd solution is cooled to 0 °C and added by cannula to the -78 °C solution of monochlorosilane (MCS) in diethyl ether. Initially some fuming was observed followed by the formation of a colorless precipitate. After completing the addition, the suspension was brought to room temperature with vigorous stirring.

The stirring was stopped and solids allowed to settle before filtration over a medium glass frit with a bed of Celite. The resulting colorless solution is

transferred to a flask containing dried Amberlyst A21 Resin (5g), stirred slightly and left to stand at room temperature for 14 hours. The solution is then filtered and distilled at atmospheric pressure using a short path column to remove solvent and high volatiles to afford a highly viscous pale yellow liquid. The receiving flask is replaced and cooled to -78 °C, the desired product is distilled at 55- 61 °C/100mTorr as a colorless liquid which slowly crystallized upon standing at room temperature. Yield: 14.5 g (54.1 %). MP = 36°C, 29 Si NMR (80MHz, C 6 D 6 , 25 °C) δ(ppm) = -117.5; 1 H NMR (400MHz, C 6 D 6 , 25 °C) δ(ppm) = 5.05 (s, 3H, SiH 3 ), 1.79 (s, 3H, CCH 3 ), 1.25 (s, 9H, NC(CH 3 ) 3 ). Example 3

ALD of SiH 3 (N i Pr-amd): ALD tests were performed using the SiH 3 (N i Pr-amd) prepared in Example 1 , which was placed in a vessel at room temperature. Typical ALD conditions were used, such as using ozone with a reactor pressure fixed at ~0.5 Torr. As shown in FIG 2, ALD behavior with complete surface saturation and reaction was assessed at 275ºC on pure silicon wafers. One of ordinary skill in the art will recognize that different deposition equipment may exhibit surface saturation at different precursor introduction times. The refractive index is characteristic of SiO 2 film (pure SiO 2 has a refractive index of 1.46).

Changes in the refractive index due to changes in precursor introduction time are indicative of impurities in the film. It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.

33