Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
POROSITY MEASUREMENT OF SEMICONDUCTOR STRUCTURES
Document Type and Number:
WIPO Patent Application WO/2017/192408
Kind Code:
A1
Abstract:
Methods and systems for performing optical measurements of the porosity of geometric structures filled with a fill material by a capillary condensation process are presented herein. Measurements are performed while the structure under measurement is treated with a flow of purge gas that includes a controlled amount of vaporized fill material. A portion of the fill material condenses and fills openings in the structural features such as pores of a planar film, spaces between structural features, small volumes such as notches, trenches, slits, contact holes, etc. In one aspect, the desired degree of saturation of vaporized material in the gaseous flow is determined based on the maximum feature size to be filled. In another aspect, measurement data is collected when a structure is unfilled and when the structure is filled. The collected data is combined in a multi-target model based measurement to estimate values of porosity and critical dimensions.

Inventors:
KRISHNAN SHANKAR (US)
Application Number:
PCT/US2017/030290
Publication Date:
November 09, 2017
Filing Date:
April 29, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
KLA TENCOR CORP (US)
International Classes:
G01N15/08; G01N21/95; G01N21/956
Foreign References:
US20110019207A12011-01-27
US7568379B22009-08-04
US6435008B22002-08-20
US20030168594A12003-09-11
Other References:
ARWIN ET AL.: "Gas Sensing Based on Ellipsometric Measurement on Porous Silicon", PHYSICA STATUS SOLIDI (A, vol. 197, no. 2, 26 May 2003 (2003-05-26), XP055437942
Attorney, Agent or Firm:
MCANDREWS, Kevin et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A measurement system comprising:

an illumination source configured to provide a first amount of illumination light to one or more metrology targets disposed on a specimen;

a vapor injection system configured to provide a first gaseous flow including a first fill material in a vapor phase to the one or more metrology targets during the illumination of the one or more metrology targets, wherein a portion of the fill material is condensed onto the one or more metrology targets in a liquid phase, and wherein the portion of fill material fills at least a portion of a space between one or more geometric, structural features of the one or more metrology targets;

a detector configured to receive a first amount of collected light from the one or more metrology targets in response to the first amount of illumination light and generate a first set of measurement signals indicative of the first amount of collected light; and

a computing system configured to:

estimate a value indicative of a porosity of the one or more metrology targets based at least in part on the first set of measurement signals and a

measurement model.

2. The measurement system of Claim 1, wherein the computing system is further configured to:

estimate a value of a critical dimension of the one or more metrology targets based at least in part on the first set of measurement signals and the measurement model.

3. The measurement system of Claim 1, wherein the illumination source is further configured to provide a second amount of illumination light to the one or more metrology targets disposed on the specimen, wherein the vapor injection system is further configured to provide a second gaseous flow to the one or more metrology targets during the illumination of the one or more metrology targets with the second amount of illumination light, wherein the detector is further configured to receive a second amount of collected light from the one or more metrology targets in response to the second amount of illumination light and generate a second set of measurement signals indicative of the second amount of collected light, and wherein the computing system is further configured to:

estimate a value indicative of the porosity of the one or more metrology targets and a value of a critical dimension of the one or more metrology targets based at least in part on the first and second sets of measurement signals and a multi-target

measurement model.

4. The measurement system of Claim 3, wherein the first gaseous flow includes the first fill material at a first partial pressure and the second gaseous flow includes the first fill material at a second partial pressure.

5. The measurement system of Claim 4, wherein the second partial pressure of the first fill material is approximately zero.

6. The measurement system of Claim 3, wherein the first gaseous flow includes the first fill material and the second gaseous flow includes a second fill material.

7. The measurement system of Claim 1, wherein the specimen temperature is approximately the same temperature as a temperature of the first fill material vaporized in the first gaseous flow.

8. The measurement system of Claim 1, wherein the vapor injection system mixes a first flow of unsaturated purge gas with a second flow of purge gas saturated with the first fill material in a vapor phase to provide the first gaseous flow.

9. The measurement system of Claim 8, wherein the vapor injection system adjusts a partial pressure of the fill material in the first gaseous flow by changing a ratio of the flow of unsaturated purge gas and the flow of purge gas saturated with the first fill material in a vapor phase .

10. The measurement system of Claim 8, wherein the vapor injection system comprises:

a bubbler including the first fill material in a liquid phase, wherein a portion of the liquid fill material vaporizes into the second flow of purge gas to saturate the second flow of purge gas with the first fill material in a vapor phase.

11. The measurement system of Claim 1, wherein the fill material is any of water, ethanol, toluene, isopropyl alcohol, methanol, and benzene.

12. The measurement system of Claim 1, wherein the first fill material exhibits fluorescence in response to the first amount of illumination light.

13. The measurement system of Claim 2, wherein the estimating of the value of the porosity and the critical dimension involves any of a model-based regression, a model-based library search, a model-based library

regression, an image-based analysis, and a signal response metrology model.

14. The measurement system of Claim 1, wherein wherein the measurement system is configured as any of a spectoscopic ellipsometer, a spectroscopic reflectometer, an angle resolved reflectometer, a dark field inspection system, a bright field inspection system, and an imaging overlay measurement system.

15. A measurement system comprising:

an illumination source configured to provide an amount of illumination light to one or more structural elements disposed on a specimen;

a vapor injection system comprising:

a first mass flow controller that regulates a flowrate of a first flow of a purge gas;

a second mass flow controller that regulates a flowrate of a second flow of the purge gas; and a bubbler including a first fill material in a liquid phase, wherein the second flow of the purge gas passes through the bubbler and a portion of the liquid fill material vaporizes into the second flow of the purge gas to saturate the second flow of the purge gas with the first fill material in a vapor phase, wherein the first flow of the purge gas and the second flow of the purge gas saturated with the first fill material are combined to form a gaseous flow provided to the one or more structural elements disposed on the specimen during the illumination of the one or more structural elements;

a detector that receives a first amount of collected light from the one or more structural elements in response to the first amount of illumination light and generates a first set of measurement signals indicative of the first amount of collected light; and

a computing system configured to:

estimate a value indicative of a porosity of the one or more metrology targets based at least in part on the first set of measurement signals.

16. The measurement system of Claim 15, wherein the computing system is further configured to:

communicate a first command signal to the first mass flow controller that causes the first mass flow controller to adjust the flowrate of the first flow of the purge gas; and

communicate a second command signal to the second mass flow controller that causes the second mass flow controller to adjust the flowrate of the second flow of the purge gas such that a ratio of the flowrate of the first flow of the purge gas and the flowrate of the second flow of the purge gas achieves a desired partial pressure of the first fill material in the gaseous flow.

17. The measurement system of Claim 15, wherein the one or more structural elements includes a porous planar film.

18. A method comprising:

providing a first amount of illumination light to one or more structural elements disposed on a specimen;

providing a first gaseous flow including a first fill material in a vapor phase to the one or more structural elements during the illumination of the one or more

structural elements, wherein a portion of the fill material is condensed onto the one or more structural elements in a liquid phase, and wherein the portion of fill material fills at least a portion of a space between one or more geometric, structural features of the one or more

structural elements;

detecting a first amount of collected light from the one or more structural elements in response to the first amount of illumination light;

generating a first set of measurement signals

indicative of the first amount of collected light; and

estimating a value indicative of a porosity of the one or more structural elements based at least in part on the first set of measurement signals and a measurement model.

19. The method of Claim 18, further comprising: estimating a value of a critical dimension of the one or more structural elements based at least in part on the first set of measurement signals and the measurement model.

20. The method of Claim 18, further comprising:

providing a second amount of illumination light to the one or more structural elements disposed on the specimen; providing a second gaseous flow to the one or more structural elements during the illumination of the one or more structural elements with the second amount of

illumination light;

detecting a second amount of collected light from the one or more structural elements in response to the second amount of illumination light;

generating a second set of measurement signals

indicative of the second amount of collected light; and

estimating a value indicative of the porosity of the one or more structural elements and a value of a critical dimension of the one or more structural elements based at least in part on the first and second sets of measurement signals and a multi-target measurement model.

21. The method of Claim 18, wherein the providing of the first gaseous flow involves mixing a first flow of unsaturated purge gas with a second flow of purge gas saturated with the first fill material in a vapor phase.

22. The method of Claim 18, wherein the one or more structural elements includes a porous planar film.

Description:
POROSITY MEASUREMENT OF SEMICONDUCTOR STRUCTURES

CROSS REFERENCE TO RELATED APPLICATION

[0001] The present application for patent claims priority under 35 U.S.C. §119 from U.S. provisional patent

application serial number 62/330,751, entitled "Porosity and Critical Dimension Measurements Using Capillary

Condensation," filed May 2, 2016, and from U.S. provisional patent application serial number 62/441,887, entitled

"Critical Dimension Measurements Using Liquid Filling, " filed January 3, 2017, and from U.S. patent application serial number 15,204,938, entitled "Critical Dimension Measurements With Capillary Condensation," filed July 7, 2016, the subject matter of each is incorporated herein by reference in its entirety.

TECHNICAL FIELD

[0002] The described embodiments relate to metrology systems and methods, and more particularly to methods and systems for improved measurement of structures fabricated in the semiconductor industry.

BACKGROUND INFORMATION

[0003] Semiconductor devices such as logic and memory devices are typically fabricated by a sequence of

processing steps applied to a specimen. The various features and multiple structural levels of the

semiconductor devices are formed by these processing steps. For example, lithography among others is one semiconductor fabrication process that involves generating a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing, etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then

separated into individual semiconductor devices.

[0004] Optical metrology processes are used at various steps during a semiconductor manufacturing process to perform measurements and detect defects on wafers to promote higher yield. Optical metrology techniques offer the potential for high throughput without the risk of sample destruction. A number of metrology based techniques including scatterometry, ellipsometry, and reflectometry implementations and associated analysis algorithms are commonly used to characterize critical dimensions, film thicknesses, composition, overlay and other parameters of nanoscale structures.

[0005] The porosity of films used in the manufacture of semiconductor devices significantly impacts device

performance. Porous films are used as dielectric layers in the semiconductor fabrication process. In some examples, the dielectric constant of a film is reduced by increasing porosity. This results in reduced switching delay and improved device performance. There is a need to measure porosity quickly and in a non-destructive manner. In particular, total porosity, pore size, pore size

distribution and pore sealing are parameters of interest for process monitoring and tool monitoring applications.

[0006] Currently, porosity measurements are most commonly performed using X-ray reflectivity and positron

annihilation spectroscopy (PALS) techniques.

Unfortunately, these techniques are unsuitable for

production environments. [0007] Ellipsometric porosimetry has been explored as a technique for measuring porosity based on spectroscopic ellipsometry (SE) . This technique combines

adsorption/desorption isotherms together with measurements of the index of refraction of liquid-filled pores and liquid-free pores. Unfortunately, these measurements are generally performed in a high-vacuum environment, which is suitable as a laboratory reference technique, but is undesirable for high-volume manufacturing. Exemplary techniques are described by A. Bourgeois et al . , in

"Description of the porosity of inhomogeneous porous low-k films using solvent adsorption studied by spectroscopic ellipsometry in the visible range," Thin Solid Films 455- 456, pp. 366-369 (2004), C. Negoro et al . , "Nondestructive Characterization of a Series of Periodic Porous Silica Films by in situ Spectroscopic Ellipsometry in a Vapor Cell," Jap. J. of Appl . Phys . Vol. 43 No.4, pp. 1327-1329

(2004), and F.N. Dultsev, "Investigation of the

microrporous structure of porous layers using ellipsometric adsorption porometry, " Thin Solid Films 458, pp. 137-142

(2004), the contents of each are incorporated herein by reference in their entirety.

[0008] U.S. Patent No. 7,907,264, assigned to KLA-Tencor, Corporation, and incorporated herein by reference in its entirety, describes methods of estimating the porosity of a thin film based on measurements of the refractive index in different relative humidity conditions. The estimate of porosity is based on a correlation between the refractive index and film porosity. However, the correlation is established off-line by performing porosity measurements of a representative sample using a trusted measurement tool, such as an X-ray reflectometer or a PALS system. [0009] Future metrology applications present challenges due to increasingly small resolution requirements, multi ¬ parameter correlation, increasingly complex geometric structures, and increasing use of opaque materials. Thus, methods and systems for improved measurements are desired.

SUMMARY

[0010] Methods and systems for performing optical

measurements of geometric structures filled with a

condensate by capillary or pore condensation are presented herein. Measurements are performed while the local

environment around the metrology target under measurement is treated with a flow of purge gas that includes a

controlled amount of fill material. A portion of the fill material (i.e., the condensate) condenses onto the

structures under measurement and fills openings in the structural features such as pores of a planar film, spacing between structural features, small volumes such as notches, trenches, slits, contact holes, etc.

[0011] In one aspect, the desired degree of saturation of vaporized material in the gaseous flow provided to the structures under measurement is determined based on the maximum feature size to be filled by gaseous adsorption.

[0012] In another aspect, model based measurements of porosity are performed with a data set including

measurement signals collected from a metrology target having geometric features filled with a condensate. The presence of the condensate changes the optical properties of the structure under measurement compared to a

measurement scenario where the purge gas is devoid of any fill material. [0013] In some examples, multiple measurements of a metrology target are performed for different adsorption states. Each measurement corresponds to a different amount of condensate condensed onto the structures under

measurement. By collecting measurement signal information associated with a metrology target having geometric

features filled with different amounts of condensate, parameter correlation among floating measurement parameters is reduced and measurement accuracy is improved.

[0014] In some embodiments, the amount of fill material vaporized in a gaseous flow provided to the structures under measurement is regulated by controlling the partial pressure of the fill material in the gaseous flow. In some embodiments, a flow of unsaturated purge gas is mixed with a flow of saturated purge gas. The ratio of these flows is regulated to adjust the partial pressure of the fill material in the combined flow.

[0015] In some embodiments, a purge gas is bubbled through a liquid bath of fill material to generate a flow of purge gas that is fully saturated with fill material. The partial pressure of the fill material vaporized in the purge gas flow is equal to the equilibrium pressure of the fill material over the liquid bath of the fill material.

[0016] In some embodiments, the liquid bath of fill material is maintained at the same temperature as the specimen under measurement. In some other embodiments, the liquid bath of fill material is maintained at a lower temperature than the specimen under measurement.

[0017] In some embodiments, the degree of saturation of the vaporized fill material at the wafer is controlled by adding an involatile solute in a liquid bath of fill material that suppresses the equilibrium vapor pressure of the fill material. In these embodiments, the degree of saturation of the vaporized fill material is regulated by controlling the concentration of solute in solution.

[0018] In some embodiments, the fill material exhibits fluorescence in response to the illumination light provided to the structures under measurement to enhance measurement contrast, particularly in image based measurement

applications .

[0019] The foregoing is a summary and thus contains, by necessity, simplifications, generalizations and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not limiting in any way. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein.

BRIEF DESCRIPTION OF THE DRAWINGS

[0020] FIG. 1 is a diagram illustrative of a system 100 for measuring porosity of structures of a semiconductor wafer subject to gaseous adsorption.

[0021] FIG. 2 is a diagram illustrative of a vapor

injection system 120 of system 100 in one embodiment.

[0022] FIG. 3 is a diagram illustrative of a vapor

injection system 120 of system 100 in another embodiment.

[0023] FIG. 4 depicts a table 127 including the enthalpy of vaporization, ΔΗ, of water, toluene, and ethanol. In addition, table 127 illustrates the difference between a wafer temperature and a temperature of a bath of liquid fill material to achieve a relative saturation of the fill material of 0.9 at the wafer. [0024] FIG. 5 depicts a plot 128 of the partial pressure of water as a function of concentration of hydrochloric acid in the bath of water.

[0025] FIG. 6 depicts a table 129 illustrating the molar volume and surface tension associated with water, toluene, and ethanol.

[0026] FIG. 7 depicts a plot 172 illustrating the maximum diameter of a cylindrical hole that can be filled by adsorption at different partial pressures in accordance with Kelvin's equation for water, ethanol, and toluene as fill materials.

[0027] FIG. 8 depicts a plot 160 illustrating the maximum diameter of a long, trench-like feature that can be filled by adsorption at different partial pressures in accordance with Kelvin's equation for water, ethanol, and toluene as fill materials.

[0028] FIG. 9 depicts measurements of the index of

refraction of a tetraethyl orthosilicate (TEOS) film structure with a spectroscopic ellipsometer as described with reference to FIG. 1.

[0029] FIG. 10 depicts a plotline 179 of an estimated volume porosity percentage as a function of wavelength determined based on the measurements illustrated in FIG. 9.

[0030] FIG. 11 depicts a critical dimension structure 180 under measurement having a porous layer 185.

[0031] FIG. 12 depicts plotlines 189-193 associated with the index of refraction of layer 185 as a function of wavelength for different porosity levels in an environment with 50% relative humidity.

[0032] FIG. 13 depicts a time series of measurements of sidewall angle of structure 180 with a spectroscopic ellipsometer, such as the SE system described with

reference to FIG. 1.

[0033] FIG. 14 illustrates a method 200 for performing porosity measurements of structures subject to gaseous adsorption in one example.

DETAILED DESCRIPTION

[0034] Reference will now be made in detail to background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.

[0035] Methods and systems for performing porosity

measurements of semiconductor structures filled with a condensate by a capillary condensation process are

presented herein. Optical measurements of porosity are performed while the local environment around the structures of interest are treated with a flow of purge gas that includes a controlled amount of fill material. A portion of the fill material (i.e., the condensate) condenses onto the structures under measurement and fills openings in the structural features, openings between structural features, etc. The presence of the condensate changes the optical properties of the structure under measurement compared to a measurement scenario where the purge gas is devoid of any fill material.

[0036] In some examples, multiple measurements of a structure are performed for different adsorption states. In other words, each measurement corresponds to a different amount of condensate condensed onto the structures under measurement. By collecting measurement signal information associated with structures filled with different amounts of condensate, porosity measurements are performed with an enriched set of measurement data. [0037] In some examples, an estimate of porosity of a semiconductor structure is directly determined based on measurements of the structure in both an unfilled and filled state using a Lorentz-Lorentz model. In some of these examples, the porosity of planar films such as low-K dielectric films and tetraethyl orthosilicate (TEOS) films is determined based on the methods described herein.

Porosity measurements may include an estimation of pore size, pore distribution, pore volume, etc.

[0038] In a further aspect, porosity and critical

dimension measurements of semiconductor structures are performed based on a combined measurement model. Model based measurements are performed with an enriched data set including measurement signals collected from structures having geometric features filled with a condensate. This reduces parameter correlation among floating critical dimension and porosity parameters and improves measurement accuracy .

[0039] FIG. 1 illustrates a system 100 for measuring characteristics of a semiconductor wafer. As shown in FIG. 1, the system 100 may be used to perform spectroscopic ellipsometry measurements of one or more structures 114 of a semiconductor wafer 112 disposed on a wafer positioning system 110. In this aspect, the system 100 may include a spectroscopic ellipsometer 101 equipped with an illuminator 102 and a spectrometer 104. The illuminator 102 of the system 100 is configured to generate and direct

illumination of a selected wavelength range (e.g., 100-2500 nm) to the structure 114 disposed on the surface of the semiconductor wafer 112. In turn, the spectrometer 104 is configured to receive light from the surface of the

semiconductor wafer 112. It is further noted that the light emerging from the illuminator 102 is polarized using a polarization state generator 107 to produce a polarized illumination beam 106. The radiation reflected by the structure 114 disposed on the wafer 112 is passed through a polarization state analyzer 109 and to the spectrometer 104. The radiation received by the spectrometer 104 in the collection beam 108 is analyzed with regard to polarization state, allowing for spectral analysis of radiation passed by the analyzer. The detected spectra 111 are passed to the computing system 116 for analysis of the structure 114.

[0040] Computing system 130 is configured to receive measurement data 111 associated with a measurement (e.g., critical dimension, film thickness, composition, process, etc.) of the structure 114 of specimen 112 that is filled due to gaseous adsorption. In one example, the measurement data 111 includes an indication of the measured spectral response of the specimen by measurement system 100 based on the one or more sampling processes from the spectrometer 104. In some embodiments, computing system 130 is further configured to determine specimen parameter values of structure 114 from measurement data 111. In one example, the computing system 130 is configured to access model parameters in real-time, employing Real Time Critical

Dimensioning (RTCD) , or it may access libraries of pre- computed models for determining a value of at least one parameter of interest associated with the target structure 114. In some embodiments, the estimated values of the one or more parameters of interest are stored in a memory

(e.g., memory 132) . In the embodiment depicted in FIG. 1, the estimated values 115 of the one or more parameters of interest are communicated to an external system (not shown) . [0041] In general, ellipsometry is an indirect method of measuring physical properties of the specimen under

inspection. In most cases, the raw measurement signals

(e.g., oimeas and pmeas) cannot be used to directly determine the physical properties of the specimen. The nominal measurement process consists of parameterization of the structure (e.g., film thicknesses, critical dimensions, material properties, etc.) and the machine (e.g.,

wavelengths, angles of incidence, polarization angles, etc.) . A measurement model is created that attempts to predict the measured values (e.g., a m eas and pmeas) · As illustrated in equations (1) and (2) , the model includes parameters associated with the machine (Pmachine) and the

Specimen (Pspecimen) . a model

βrrode/

[0042] Machine parameters are parameters used to

characterize the metrology tool (e.g., ellipsometer 101) . Exemplary machine parameters include angle of incidence

(AOI), analyzer angle (Ao) , polarizer angle (Po) ,

illumination wavelength, numerical aperture (NA) ,

compensator or waveplate (if present), etc. Specimen parameters are parameters used to characterize the specimen

(e.g., specimen 112 including structures 114) . For a thin film specimen, exemplary specimen parameters include refractive index, dielectric function tensor, nominal layer thickness of all layers, layer sequence, etc. For a CD specimen, exemplary specimen parameters include geometric parameter values associated with different layers, refractive indices associated with different layers, etc. For measurement purposes, the machine parameters are treated as known, fixed parameters and one or more of the specimen parameters are treated as unknown, floating parameters .

[ 0043 ] In some examples, the floating parameters are resolved by an iterative process (e.g., regression) that produces the best fit between theoretical predictions and experimental data. The unknown specimen parameters, ■c specimen, are varied and the model output values (e.g., a m odei and pmodei ) are calculated until a set of specimen parameter values are determined that results in a close match between the model output values and the experimentally measured values (e.g., a m eas and pmeas ) · In a model based measurement application such as spectroscopic ellipsometry on a CD specimen, a regression process (e.g., ordinary least squares regression) is employed to identify specimen parameter values that minimize the differences between the model output values and the experimentally measured values for a fixed set of machine parameter values.

[ 0044 ] In some examples, the floating parameters are resolved by a search through a library of pre-computed solutions to find the closest match. In a model based measurement application such as spectroscopic ellipsometry on a CD specimen, a library search process is employed to identify specimen parameter values that minimize the differences between pre-computed output values and the experimentally measured values for a fixed set of machine parameter values.

[ 0045 ] In some other examples, model-based library

regression or a signal response metrology model are

employed to estimate values of parameters of interest. [0046] In a model-based measurement application, simplifying assumptions often are required to maintain sufficient throughput. In some examples, the truncation order of a Rigorous Coupled Wave Analysis (RCWA) must be reduced to minimize compute time. In another example, the number or complexity of library functions is reduced to minimize search time. In another example, the number of floating parameters is reduced by fixing certain parameter values. In some examples, these simplifying assumptions lead to unacceptable errors in the estimation of values of one or more parameters of interest (e.g., critical

dimension parameters, overlay parameters, etc.) . By performing measurements of structures subject to gaseous adsorption as described herein, the model-based measurement model can be solved with reduced parameter correlations and increased measurement accuracy.

[0047] As depicted in FIG. 1, metrology system 100

includes a vapor injection system 120 configured to provide a gaseous flow 126 to structure 114 during measurement. In one aspect, gaseous flow 126 includes a purge gas and a fill material vaporized in the purge gas. When the gaseous flow comes into contact with the structure 114, adsorption takes place and a portion of the fill material (i.e., the condensate) condenses onto structure 114 under measurement. The condensate fills at least a portion of one or more structural features of the structure 114. The presence of the condensate changes the optical properties of the measured structure.

[0048] In some embodiments, a measurement is performed when the purge gas flow does not include fill material

(e.g., pure nitrogen gas or clean dry air), and another measurement is performed when the purge gas flow includes fill material such that the condensate completely fills the openings between the structural features under measurement. The measurement data collected from these two measurements is communicated to computing system 130 and an estimate of one or more structural parameters of interest is made based on both sets of measurement data.

[0049] In some embodiments, a series of measurements are performed under different adsorption conditions such that the amount of condensation onto the structural features under measurement is different for each measurement. The measurement data collected from the series of measurements is communicated to computing system 130 and an estimate of one or more structural parameters of interest is made based on the collected measurement data.

[0050] As depicted in FIG. 1, an amount of fill material 123 is transported from a fill material source 121 to the vapor injection system 120. In addition, a flow of purge gas 124 is transported from a purge gas source 122 to the vapor injection system. Vapor injection system 120 causes fill material to vaporize into the flow of purge gas to generate the gaseous flow 126 provided to structure 114 under measurement. In the embodiment depicted in FIG. 1, the flow of purge gas and the amount of fill material vaporized into the flow of purge gas is controlled by command signals 125 communicated from computing system 130 to vapor injection system 120. Thus, command signals 125 control the desired composition of gaseous flow 126. As depicted in FIG. 1, gaseous flow 126 passes through nozzle 105 that directs gaseous flow 126 to the desired location on wafer 110 with the appropriate flow characteristics.

[0051] FIG. 1 depicts gaseous flow 126 provided locally to the metrology target under measurement. However, in general, gaseous flow 126 may be provided over the entire wafer, through any portion of the beam path from the illumination source to the detector, or any combination thereof. Various examples of providing purge gas flow over the wafer and through the beam path between the

illumination source and the detector are described in U.S. Patent No. 7,755,764, by Hidong Kwak, et al . , and issued on July 13, 2010, the subject matter of which is incorporated herein by reference in its entirety.

[0052] The embodiments of the system 100 illustrated in FIG. 1 may be further configured as described herein. In addition, the system 100 may be configured to perform any other block (s) of any of the method embodiment ( s ) described herein .

[0053] FIG. 2 is a diagram illustrative of vapor injection system 120 in one embodiment. In this embodiment, the amount of fill material vaporized in gaseous flow 126 provided to wafer 112 under measurement (i.e., the partial pressure of the condensate) is regulated. By regulating the partial pressure of the fill material, the structural dimensions filled by capillary condensation are controlled.

[0054] In the embodiment depicted in FIG. 2, the partial pressure of the fill material vaporized in the purge gas flow (e.g., nitrogen gas, clean, dry air, etc.) is equal to the equilibrium pressure of the fill material over a liquid bath of the fill material through which the purge gas is bubbled. In one example, a bubbler-type vapor injection system is a 1.2 liter capacity stainless steel bubbler, model Z553360, commercially available from Sigma-Aldrich, St. Louis, Missouri (USA).

[0055] As depicted in FIG. 2, a portion 146 of purge gas flow 124 passes through mass flow controller 148A and another portion 145 of purge gas flow 124 passes through mass flow controller 148B. The flow rates of gaseous flows 146 and 145 are controlled by the state of mass flow controller 148A and 148B, respectively, e.g., position of a valve of a mass flow controller. In this manner, the amount of purge gas flow 124 into which fill material is vaporized is controlled by mass flow controller 148B and the amount of purge gas flow 124 that is not subject to vaporization is controlled by mass flow controller 148B. In the embodiment depicted in FIG. 2, command signal 125 communicated from computing system 130 to vapor injection system 120 includes multiple signals 149A-C. Signal 149A includes an indication of the desired flow through mass flow controller 148A. In response, mass flow controller 148A adjusts to the desired flow, and thus, the desired proportion of purge gas flow into which no fill material is vaporized. Signal 149B includes an indication of the desired flow through mass flow controller 148B. In

response, mass flow controller 148B adjusts to the desired flow, and thus, the desired proportion of purge gas flow into which fill material is vaporized. Portion 145 of purge gas flow 124 passes through a check valve 142, a flow control valve 143, and into bubbler 140. In bubbler 140, an amount of fill material is vaporized into portion 145 of purge gas flow 124 to generate a gaseous flow 147 of purge gas and fill material. Gaseous flow 147 is combined with the portion 146 of purge gas that did not flow through bubbler 140 to generate gaseous flow 126.

[0056] In some embodiments, mass flow controllers 149A and 149B are controlled such that the entirety of purge gas flow 124 either flows through bubbler 140 or by-passes bubbler 140 completely. In this manner, gaseous flow 126 is either a dry purge gas flow 124 having zero partial pressure of fill material or the entire purge gas flow 124 is subject to vaporization of fill material.

[0057] As fill material is vaporized in bubbler 140 and carried away as gaseous flow 147, additional fill material 123 flows from fill material source 121 to maintain a constant fill level in bubbler 140. In some embodiments, the fill level is automatically controlled based on a level sensor and flow control scheme. In some other embodiments, the fill level is periodically maintained by a manual filling operation.

[0058] In one embodiment, the degree of saturation of the vaporized fill material in gaseous flow 126 at an ambient temperature, T a , is controlled by adjusting the proportion of purge gas flow 145 into which fill material is vaporized relative to the portion of purge gas flow 146 that is not subject to vaporization. In a preferred embodiment, the temperature of the fill material in bubbler 140 is

maintained at the same temperature as the wafer under measurement (e.g., ambient temperature, T a ) . Under these conditions, the relative saturation of the fill material in gaseous flow 126, po/p, is described in equation (3), where Fi is the flow rate of fully saturated gaseous flow 147 and F2 is the flow rate of unsaturated gaseous flow 146.

— = 7 (3

As illustrated in FIG. 2, gaseous flows 146 and 147 are combined to form gaseous flow 126 provided to the wafer under measurement. Thus, the total flow provided to the wafer under measurement is controlled by communicating command signals 148A and 148B to regulate the sum of Fi and F2. The relative saturation of the flow provided to the wafer under measurement is controlled by communicating command signals 148A and 148B to regulate the ratio of Fi and F2.

[0059] In another embodiment, the degree of saturation of the vaporized fill material at an ambient temperature, T a , is controlled by maintaining the liquid bath at a

temperature, T, below the ambient temperature. The relationship between equilibrium vapor pressure, po, of a pure substance and temperature, T, is given by the

Clausius-Clapyron equation illustrated by equation (4), where ΔΗ is the enthalpy of vaporization of the pure substance and R is the ideal gas constant, which is 8.31 J/mole-°K.

[0060] Based on equation (4), the relative saturation, p/po, for a fill material saturated at a temperature, T, which is less than the ambient temperature, Ta, is

illustrated by equation (5) .

[0061] FIG. 4 depicts a table 127 including the enthalpy of vaporization, ΔΗ, of water, toluene, and ethanol. Each of these substances may be suitable as fill materials as described herein. In addition, table 127 illustrates the difference between the ambient temperature (i.e., wafer temperature) and the bath temperature when the ambient temperature is 25 degrees Centigrade and the desired relative saturation of the fill material, p/po, is 0.9. As illustrated in table 127, by maintaining the bath

temperature below the ambient temperature by the illustrated amounts, a partial pressure at 0.9 is maintained for each listed fill material. It may be advantageous to utilize any of these substances as fill materials because it is a relatively simple matter to maintain a temperature differential of approximately two degrees Centigrade between the wafer and the liquid bath of bubbler 140. In this embodiment, it is possible to control the degree of saturation of the vaporized fill material in gaseous flow 126 at an ambient temperature, T a , without combining a flow of dry purge gas 146 with the flow of saturated purge gas 147. In other words, flow 146 can be set to zero, and the degree of saturation of the vaporized fill material in gaseous flow 126 at an ambient

temperature, T a , is controlled by the temperature difference between the bubbler temperature and the wafer temperature. In some other examples, a flow of dry purge gas 146 is combined with the flow of saturated purge gas 147, and the degree of saturation of the vaporized fill material in gaseous flow 126 at an ambient temperature, T a , is

controlled by a combination of a temperature difference between the bubbler temperature and the wafer temperature and the ratio of the flowrates of gaseous flow 146 and gaseous flow 147.

[0062] In some embodiments, the bath temperature and wafer temperature are measured and communicated to computing system 130. Computing system determines a difference between the wafer temperature and the bath temperature and calculates a desired wafer temperature, bath temperature, or both. In some embodiments, computing system 130

generates a command signal 149C indicative of a desired bath temperature to vapor injection system 120. In

response, vapor injection system 120 adjusts the bath temperature to the desired value using a local heating or cooling unit (not shown) . In some embodiments, computing system 130 generates a command signal (not shown)

indicative of a desired wafer temperature to a wafer conditioning subsystem (not shown) . In response, the wafer conditioning subsystem adjusts the wafer temperature to the desired value using a wafer heating or cooling unit (not shown) . In some embodiments, computing system 130

generates a command signal 113 (depicted in FIG. 1)

indicative of a desired wafer temperature to a local wafer heating element 103. In response, the heating unit 103 adjusts the wafer temperature locally (i.e., in the

immediate vicinity of the measurement location) to the desired value using a radiative heating element.

[0063] In some embodiments, control of the temperature difference between the wafer and the bath is controlled by a computing system associated with vapor injection system 120. In this sense, control of the temperature difference between the wafer and the bath by computing system 130 is provided by way of non-limiting example. Any suitable control architecture and temperature regulation scheme may be contemplated within the scope of this patent document.

[0064] FIG. 3 is a diagram illustrative of vapor injection system 120 in another embodiment. Like numbered elements are analogous to those described with reference to FIG. 2.

[0065] As depicted in FIG. 3, the flow of purge gas 124 passes through a three-way valve 141. In some embodiments, three-way valve 141 proportions a portion 145 of purge gas flow 124 that flows through bubbler 140 with a portion 146 that does not flow through bubbler 140 based on a position of the three-way valve. In this manner, the amount of purge gas flow 124 into which fill material is vaporized is controlled by three-way valve 141. In the embodiment depicted in FIG. 3, command signal 125 communicated from computing system 130 to vapor injection system 120 includes multiple signals 149C-D. In the embodiment depicted in FIG. 3, signal 149D includes an indication of the desired position of three-way valve 141. In response, three-way valve 141 adjusts to the desired position, and thus, the desired proportion of purge gas flow into which fill material is vaporized. Portion 145 of purge gas flow 124 passes through a check valve 142, a flow control valve 143, and into bubbler 140. In bubbler 140, an amount of fill material is vaporized into portion 145 of purge gas flow 124 to generate a gaseous flow 147 of purge gas and fill material. Gaseous flow 147 is combined with the portion 146 of purge gas that did not flow through bubbler 140 to generate gaseous flow 126.

[0066] In some embodiments, three-way valve 141 is

controlled such that the entirety of purge gas flow 124 either flows through bubbler 140 or by-passes bubbler 140 completely based on a position of the three-way valve. In this manner, gaseous flow 126 is either a dry purge gas flow 124 having zero partial pressure of fill material or the entire purge gas flow 124 is subject to vaporization of fill material depending on the state of three-way valve 141.

[0067] As described with reference to FIG. 3, the amount of fill material provided to the wafer under measurement is controlled by regulating the portion 145 of purge gas flow 124 that is subject to vaporization of fill material relative to the portion 146 of purge gas flow 124 that is not. In addition, the degree of saturation of the

vaporized fill material at wafer temperature is controlled by regulating the difference between the wafer temperature and the bath temperature.

[0068] In another embodiment, the degree of saturation of the vaporized fill material at ambient temperature is controlled by adding an involatile solute in a liquid bath of solvent (i.e., fill material) that suppresses the equilibrium vapor pressure of the solvent compared to the equilibrium vapor pressure of the solvent alone. In one example, a solution formed from water as the solvent and an involatile solute (e.g., sodium chloride, hydrochloric acid, etc.) exhibits a vapor pressure of water that is less than the equilibrium vapor pressure of pure water. FIG. 5 depicts a plot 128 of the partial pressure of water as a function of concentration of hydrochloric acid in the bath of water. A similar result exists for a solution of sodium chloride dissolved in water. For example, a solution of six percent sodium chloride dissolved in water yields a relative humidity, p/po, of 90%.

[0069] In these embodiments, the degree of saturation of the vaporized fill material (i.e., the solvent) is

regulated by controlling the concentration of solute in solution. In some embodiments, the amount of solvent in the bath is controlled to maintain the desired

concentration, and thus the desired partial pressure of the vaporized solvent. In these embodiments, precise

temperature control is not necessary as long as the bath temperature is maintained nominally at the ambient

temperature (i.e., wafer temperature) .

[0070] In general, any suitable purge gas and fill

material may be selected for use in performing measurements as described herein. Exemplary purge gases include inert gases, nitrogen, and clean dry air. The selection of suitable purge gas is driven primarily by availability in a semiconductor fabrication facility. Exemplary fill

materials include water, ethanol, isopropyl alcohol, methanol, benzene, toluene, etc. The selection of suitable fill materials is driven by the ability to control vapor pressure, void filling characteristics, optical

characteristics, and any chemical interactions between the fill material and the specimen under measurement.

[ 0071 ] For example, both the index of refraction of the fill material and the absorption coefficient of the fill material are considered in the underlying measurement model as the liquid fill material not only refracts incident light, but also absorbs incident light. Both of these characteristics create differences between measurements performed with fill and measurements performed without fill, particularly at relatively short illumination

wavelengths (e.g., vacuum ultraviolet wavelengths ranging from 120 nanometers to 190 nanometers), and also at

relatively long illumination wavelengths (e.g., infrared wavelengths extending to 2,500 nanometer, and beyond) .

Thus, a selection of a liquid fill material that differs substantially from air in both index of refraction and absorption coefficient offers the opportunity for reduced parameter correlations in a multi-target measurement analysis. In addition, a selection of a liquid fill material that varies in both index of refraction and absorption coefficient as a function of illumination wavelength offers the opportunity for reduced parameter correlations in a spectral measurement analysis. In some embodiments, measurements are performed using a fill material such as de-ionized water with a number of

different spectral metrology techniques that capture a wide range of wavelengths between 100 nanometers and 2,500

nanometers .

[0072] Exemplary metrology techniques include

spectroscopic ellipsometry, mueller-matrix ellipsometry, spectroscopic reflectometry, angle-resolved reflectometry, etc .

[0073] In a further aspect, a selection of a liquid fill material that exhibits fluorescence at illumination

wavelengths offers the opportunity for reduced parameter correlations in image based measurement analyses. In some embodiments, fluorescence of the fill material enhances image contrast and improves measurement performance of image based measurement techniques such as image based overlay, image based inspection (e.g., dark field and bright field inspection), etc.

[0074] In a further aspect, capillary condensation is employed to fill spaces between geometric, structural features of a metrology target itself (e.g., a critical dimension (CD) structures, grating structures, overlay structures, etc.) during measurement of the metrology target. In general, the desired degree of saturation of vaporized material in gaseous flow 126 is determined based on the maximum feature size to be filled by gaseous

adsorption. Capillary condensation is employed to fill small features (e.g., pores, small volumes such as notches, trenches, slits, contact holes, etc.) with a fill material. Kelvin' s equation provides an approximation of the maximum feature size that can be filled for a particular fill material, partial pressure of the fill material, and ambient temperature (e.g., wafer temperature) . Equation

(6) illustrates Kelvin's equation for a condensed meniscus having two different radii, n and r2, where, R, is the ideal gas constant, T a , is the ambient temperature, V, is the molar volume of the fill material, γ, is the surface tension constant associated with the fill material, and p/po, is the partial pressure of the fill material.

[0075] FIG. 6 depicts a table 129 illustrating the molar volume and surface tension associated with water, toluene, and ethanol.

[0076] For cylindrical hole or pore features, n equals Τ2. FIG. 7 depicts a plot 172 illustrating the maximum diameter of a cylindrical hole or pore that can be filled by

adsorption/condensation in accordance with equation (6). Plot 172 depicts the maximum diameter of a cylindrical hole that can be filled by water (plotline 175) , ethanol

(plotline 174), and toluene (plotline 173) for various partial pressures of each fill material at an ambient temperature of 25 degrees Centigrade. As depicted in FIG. 7, cylindrical holes having diameters up to 40 nanometers may be filled when gaseous flow 126 is provided to the metrology target with a partial pressure of water or ethanol of 95% or higher. Also as depicted in FIG. 7, cylindrical holes having diameters up to 90 nanometers may be filled when gaseous flow 126 is provided to the

metrology target with a partial pressure of toluene of 95% or higher.

[0077] For lines and spaces, Τ2, is infinity. FIG. 8 depicts a plot 160 illustrating the maximum diameter of a long, trench-like feature that can be filled by adsorption in accordance with equation (6) . Plot 160 depicts the maximum diameter of a trench that can be filled by water (plotline 164), ethanol (plotline 163), and toluene

(plotline 162) for various partial pressures of each fill material at an ambient temperature of 25 degrees

Centigrade. As illustrated, the maximum diameter across a long, trench-like feature is half the maximum diameter of a cylindrical hole feature. As depicted in FIGS. 7 and 8, the plotlines of water and ethanol appear to overlap because the performance of ethanol as a fill material is very similar to water.

[0078] In one aspect, the degree of saturation of the vaporized fill material at an ambient temperature, T a , is adjusted such that all features below a desired maximum feature size are filled. In some embodiments, this is achieved by controlling the ratio of a flow of purge gas subject to vaporization and a flow of purge gas that is not subject to vaporization as described hereinbefore. In some embodiments, this is achieved by controlling the

temperature difference between the wafer and the liquid bath of fill material. In some other embodiments, this is achieved by controlling the concentration of involatile solute dissolved in the liquid bath of fill material.

[0079] Spectroscopic measurements of the index of

refraction of a thin film vary depending on the relative humidity of the environment surrounding the structure under measurement. Changes in the estimated value of the index of refraction are due to varying degrees of condensation in pores of the film structure. In one example, water wets a film of silicon dioxide such that the surface of water condensed in a pore has a negative curvature (i.e., a meniscus) with radius, r, approximately equal to the pore radius. At room temperature, T = 298K, with a relative humidity of approximately 50%, pores with a radius of approximately two nanometers, and less, fill with water.

[0080] FIG. 9 depicts measurements of the index of

refraction of a tetraethyl orthosilicate (TEOS) film structure with a spectroscopic ellipsometer as described with reference to FIG. 1. Plotline 176 depicts the

measurement results when the film is filled in a dry environment. Plotline 177 depicts the measurement results when the film measurements are performed with a relative humidity of approximately 50%. Plotline 178 depicts the measurement results of the index of refraction of water itself .

[0081] The relationship between the refractive index of filled and empty pores and the refractive index of the fill material is given by the Lorentz-Lorenz equation

illustrated in equation (7), wherein V ope n is the volume of unfilled pores, is the index of refraction when the pores are filled, η β is the index of refraction when the pores are unfilled, and r\ a ds is the index of refraction of the

condensate material

[0082] The porosity associated with all pores having a radius less than two nanometers (i.e., pores filled with water at a relative humidity of 50%) is determined by applying the Lorentz-Lorenz equation to the measured data. FIG. 10 depicts a plotline 179 of the estimated volume porosity percentage as a function of wavelength determined based on the measurements illustrated in FIG. 9. As depicted in FIG. 10, the TEOS film exhibits a volume porosity percentage of approximately three percent for pores having a radius less than two nanometers.

[0083] In some examples, pore size distribution is

determined in a similar manner based on measurements of the index of refraction at a number of different relative humidity (i.e., partial pressure, p/pO, conditions).

[0084] In a further aspect, porosity and critical

dimension measurements are performed at different degrees of saturation of the vaporized fill material at the ambient temperature such that all features below a range of maximum feature sizes are filled. The measurements are combined in a multi-target model based measurement to estimate the values of porosity and one or more critical dimensions with reduced parameter correlation and improved measurement performance .

[0085] FIG. 11 depicts a structure 180 under measurement having a critical dimension, CD, of approximately sixty nanometers. Structure 180 includes a number of layers 181- 188. Layer 185 is a porous layer and has a height, H, of approximately one hundred fifty nanometers and a sidewall angle, SWA. Because layer 185 is porous, its index of refraction changes depending on the partial pressure of fill material surrounding the structure during measurement as described hereinbefore.

[0086] FIG. 13 depicts a time series of measurements of the sidewall angle of structure 180 with a spectroscopic ellipsometer, such as the SE system described with

reference to FIG. 1. In a first time period, A, dry, purge gas is supplied around structure 180 under measurement. In the next time period, B, the dry, purge gas is removed and humid air surrounds structure 180 under measurement. In the next time period, C, the dry, purge gas is again supplied around structure 180 under measurement. The model based measurement results illustrated in FIG. 13 are performed with a fixed value of the index of refraction. However, the index of refraction of layer 185 changes depending on the partial pressure of fill material (i.e., water) surrounding structure 180 during measurement. When the value of the index of refraction in fixed in the model based measurement, the measurement of sidewall angle is affected. As illustrated in FIG. 13, the measured value of sidewall angle changes depending on the humidity condition surrounding porous layer 185, even though, in fact, the sidewall angle is fixed. This change in estimated value occurs because the CD/shape calculation is a multi ¬ parameter regression and a change in the index of

refraction of any specific layer results in a change in value for all layers.

[0087] In some embodiments, the index of refraction of porous layer 185 is floated (i.e., treated as an unknown value to be solved) and measurements are performed at a number of different partial pressures of fill material. A multi-parameter regression is performed to resolve both the sidewall angle and the index of refraction of the porous layer 185. The index of refraction is related to the porosity by the Lorentz-Lorentz equation. When this relationship is incorporated into the measurement model, the multi-parameter regression of the measurement model resolves the sidewall angle and the porosity of layer 185.

[0088] FIG. 12 depicts plotlines 189-193 associated with the index of refraction of layer 185 as a function of wavelength for different porosity levels in an environment with 50% relative humidity (i.e., partial pressure of water of 0.5) . Plotline 189 depicts the index of refraction for a layer with no porosity, plotline 190 depicts the index of refraction for a layer with 1% volume porosity, plotline 191 depicts the index of refraction for a layer with 3% volume porosity, plotline 192 depicts the index of

refraction for a layer with 5% volume porosity, and

plotline 193 depicts the index of refraction for a layer with 10% volume porosity.

[0089] For illustration purposes, the estimate of sidewall angle was recalculated using data collected during time period B using three different fixed values for the index of refraction of layer 185. Estimated values 196 were determined based on an assumed index of refraction that matched the plotline 189. Estimated values 195 were determined based on an assumed index of refraction that matched the plotline 190 (i.e., 1% porosity). Estimated values 194 were determined based on an assumed index of refraction that matched the plotline 191 (i.e., 3%

porosity) . As depicted in FIG. 13, an estimate of porosity of approximately 2% accounts for the measurement change between dry, purge gas and air with 50% relative humidity. In this manner, CD/Shape parameters and porosity are estimated based on the same measurement data.

[0090] In general, a measurement site includes one or more metrology targets measured by a measurement system (e.g., metrology system 100 depicted in FIG. 1) . In general, measurement data collection may be performed across the entire wafer or a subset of the wafer area. In addition, in some embodiments, the metrology targets are designed for printability and sensitivity to changes in process

parameters, structural parameters of interest, or both. In some examples, the metrology targets are specialized targets. In some embodiments, the metrology targets are based on conventional line/space targets. By way of non- limiting example, CD targets, SCOL targets, or AiM™ targets available from KLA-Tencor Corporation, Milpitas, California (USA) may be employed. In some other embodiments, the metrology targets are device-like structures. In some other examples, the metrology targets are device

structures, or portions of device structures. Regardless of the type of metrology target employed, a set of

metrology targets that exhibit sensitivity to the process variations, structural variations, or both, being explored is measured using shape filling by capillary condensation as described herein.

[0091] In another aspect, measurement data is collected from CD structures when the CD structures are filled (i.e., subject to gaseous adsorption as described herein) and when they are not filled (i.e., not subject to gaseous

adsorption) . The collected data is combined in a multi- target model based measurement to improve measurement performance .

[0092] The collected data is received by computing system 130. Computing system 130 performs a model based

measurement analysis utilizing both sets of measurement data with a multi-target model to estimate the values of parameters of interest. In some examples, the multi-target model described herein is implemented off-line, for

example, by a computing system implementing AcuShape® software available from KLA-Tencor Corporation, Milpitas, California, USA. The resulting, multi-target model is incorporated as an element of an AcuShape® library that is accessible by a metrology system performing measurements using the multi-target model. [0093] In another aspect, a series of measurements are performed such that each set of measurement data is

collected from metrology target structures when the

metrology target structures are filled with a different fill material, or combinations of different fill materials. The collected data is combined in a multi-target model based measurement to reduce parameter correlations and improve measurement performance.

[0094] In another aspect, measurement data is collected from a metrology target subject to adsorption when the adsorption process has reached a steady state. In other words, the amount of fill provided by the adsorption process has reached steady state.

[0095] In yet another aspect, measurement data is

collected from a metrology target subject to adsorption before the adsorption process has reached a steady state. In other words, the amount of fill provided by the

adsorption process is changing during the time of

measurement .

[0096] FIG. 14 illustrates a method 200 for performing porosity measurements of structures subject to gaseous adsorption. Method 200 is suitable for implementation by a metrology system such as metrology system 100 illustrated in FIG. 1 of the present invention. In one aspect, it is recognized that data processing blocks of method 200 may be carried out via a pre-programmed algorithm executed by one or more processors of computing system 130, or any other general purpose computing system. It is recognized herein that the particular structural aspects of metrology system 100 do not represent limitations and should be interpreted as illustrative only. [0097] In block 201, a first amount of illumination light is provided to one or more structural elements disposed on a specimen by an illumination system.

[0098] In block 202, a first gaseous flow including a first fill material in a vapor phase is provided to the one or more structural elements during the illumination of the one or more structural elements. A portion of the fill material is condensed onto the one or more structural elements in a liquid phase filling at least a portion of a space between one or more geometric, structural features of the one or more structural elements.

[0099] In block 203, a first amount of collected light is detected from the one or more structural elements in response to the first amount of illumination light.

[00100] In block 204, a first set of measurement signals indicative of the first amount of collected light are generated, for example, by the detector.

[00101] In block 205, a value indicative of a porosity of the one or more structural elements is estimated based at least in part on the first set of measurement signals and a measurement model.

[00102] In the embodiment depicted in FIG. 1, spectroscopic ellipsometer measurements of metrology targets subject to a gaseous flow having varying amounts of liquid fill material are performed. However, in general, any suitable model- based metrology technique may be employed to perform measurements of metrology targets subject to a gaseous flow having varying amounts of liquid fill material in

accordance with the methods and systems described herein.

[00103] Suitable model-based metrology techniques include, but are not limited to, spectroscopic ellipsometry and spectroscopic reflectometry, including single wavelength, multiple wavelength, and angle resolved implementations, spectroscopic scatterometry, scatterometry overlay, beam profile reflectometry and beam profile ellipsometry, including angle-resolved and polarization-resolved

implementations may be contemplated, individually, or in any combination.

[00104] In general, the aforementioned measurement

techniques may be applied to the measurement of process parameters, structural parameters, layout parameters, dispersion parameters, or any combination thereof. By way of non-limiting example, overlay, profile geometry

parameters (e.g., critical dimension, height, sidewall angle), process parameters (e.g., lithography focus, and lithography dose) , dispersion parameters, layout parameters (e.g., pitch walk, edge placement errors), film thickness, composition parameters, or any combination of parameters may be measured using the aforementioned techniques.

[00105] By way of non-limiting example, the structures measured with shape filling include line-space grating structures, FinFet structures, SRAM device structures, Flash memory structures, and DRAM memory structures.

[00106] In another further aspect, the metrology targets located on the wafer are design rule targets. In other words, the metrology targets adhere to the design rules applicable to the underlying semiconductor manufacturing process. In some examples, the metrology targets are preferably located within the active die area. In some examples, the metrology targets have dimensions of 15 micrometers by 15 micrometers, or smaller. In some other examples, the metrology targets are located in the scribe lines, or otherwise outside the active die area. [00107] In some examples, model-based measurements are performed with shape filling to estimate one parameter of interest. Thus, the measurement model associated with the parameter of interest is optimized independently. By measuring each parameter of interest individually, the computational burden is reduced and the performance of the underlying measurement can be maximized by selecting different wavelengths, measurement subsystems, and

measurement methods that are optimized for each individual parameter. In addition, different model-based measurement solvers can be selected, or configured differently, for each parameter of interest.

[00108] However, in some other examples, model-based measurements are performed with shape filling to estimate multiple parameters of interest in parallel. Thus, the measurement model is developed to solve for multiple parameters of interest.

[00109] In some examples, measurements of parameters of interest performed at a particular measurement site rely on data collected from that particular measurement site only, even though data may be collected from multiple sites on the wafer. In some other examples, measurement data collected from multiple sites across the wafer, or a subset of the wafer is used for measurement analysis. This may be desirable to capture parameter variations across the wafer.

[00110] In some examples, measurements of parameters of interest are performed based on filled metrology targets with multiple, different measurement techniques including single target techniques, multi-target techniques and spectra feedforward techniques. Accuracy of measured parameters may be improved by any combination of feed sideways analysis, feed forward analysis, and parallel analysis. Feed sideways analysis refers to taking multiple data sets on different areas of the same specimen and passing common parameters determined from the first dataset onto the second dataset for analysis. Feed forward

analysis refers to taking data sets on different specimens and passing common parameters forward to subsequent

analyses using a stepwise copy exact parameter feed forward approach. Parallel analysis refers to the parallel or concurrent application of a non-linear fitting methodology to multiple datasets where at least one common parameter is coupled during the fitting.

[00111] Multiple tool and structure analysis refers to a feed forward, feed sideways, or parallel analysis based on regression, a look-up table (i.e., "library" matching), or another fitting procedure of multiple datasets. Exemplary methods and systems for multiple tool and structure

analysis is described in U.S. Patent No. 7,478,019, issued on January 13, 2009, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference.

[00112] In yet another aspect, the measurement results obtained as described herein can be used to provide active feedback to a process tool (e.g., lithography tool, etch tool, deposition tool, etc.). For example, values of critical dimensions determined using the methods and systems described herein can be communicated to a

lithography tool to adjust the lithography system to achieve a desired output. In a similar way etch parameters (e.g., etch time, diffusivity, etc.) or deposition

parameters (e.g., time, concentration, etc.) may be

included in a measurement model to provide active feedback to etch tools or deposition tools, respectively. In some example, corrections to process parameters determined based on measured device parameter values may be communicated to a lithography tool, etch tool, or deposition tool.

[00113] It should be recognized that the various steps described throughout the present disclosure may be carried out by a single computer system 130, a multiple computer system 130, or multiple, different computer systems 130. Moreover, different subsystems of the system 100, such as the spectroscopic ellipsometer 101, may include a computer system suitable for carrying out at least a portion of the steps described herein. Therefore, the aforementioned description should not be interpreted as a limitation on the present invention but merely an illustration. Further, computing system 130 may be configured to perform any other step(s) of any of the method embodiments described herein.

[00114] The computing system 130 may include, but is not limited to, a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device, or combination of devices, having one or more processors, which execute instructions from a memory medium. In general, computing system 130 may be integrated with a measurement system such as measurement system 100, or alternatively, may be separate, entirely, or in part, from any measurement system. In this sense, computing system 130 may be remotely located and receive measurement data and from any measurement source and transmit command signals to any element of metrology system 100.

[00115] Program instructions 134 implementing methods such as those described herein may be transmitted over a

transmission medium such as a wire, cable, or wireless transmission link. Memory 132 storing program instructions 134 may include a computer-readable medium such as a read ¬ only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.

[00116] In addition, the computing system 130 may be communicatively coupled to the spectrometer 104 or the illuminator subsystem 102 of the ellipsometer 101 in any manner known in the art.

[00117] The computing system 130 may be configured to receive and/or acquire data or information from subsystems of the system (e.g., spectrometer 104, illuminator 102, vapor injection system 120, and the like) by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100. Further, the computing system 130 may be configured to receive measurement data via a storage medium (i.e., memory) . For instance, the spectral results obtained using a spectrometer of ellipsometer 101 may be stored in a permanent or semi-permanent memory device (not shown) . In this regard, the spectral results may be imported from an external system. Moreover, the computer system 130 may receive data from external systems via a transmission medium.

[00118] The computing system 130 may be configured to transmit data or information to subsystems of the system

(e.g., spectrometer 104, illuminator 102, vapor injection system 120, and the like) by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100. Further, the computing system 130 may be configured to transmit command signals and measurement results via a storage medium (i.e., memory) . For instance, the measurement results 115 obtained by analysis of spectral data may be stored in a permanent or semi-permanent memory device (not shown) . In this regard, the spectral results may be exported to an external system. Moreover, the computer system 130 may send data to external systems via a transmission medium. In addition, the determined values of the parameter of interest are stored in a memory. For example, the values may be stored on-board the measurement system 100, for example, in memory 132, or may be

communicated (e.g., via output signal 115) to an external memory device.

[00119] As described herein, the term "capillary

condensation" includes any physical process for filling of porous structures by condensation of vaporized fill

material, including gaseous adsorption, or pore

condensation. In this sense, the terms "capillary

condensation, " "pore condensation, " and "adsorption, " are used interchangeably and describe the same physical process for purposes of this patent document.

[00120] As described herein, the term "critical dimension" includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures

(e.g., distance between two structures), and a displacement between two or more structures (e.g., overlay displacement between overlaying grating structures, etc.) . Structures may include three dimensional structures, patterned

structures, overlay structures, etc. [00121] As described herein, the term "critical dimension application" or "critical dimension measurement

application" includes any critical dimension measurement.

[00122] As described herein, the term "metrology system" includes any system employed at least in part to

characterize a specimen in any aspect, including

measurement applications such as critical dimension

metrology, overlay metrology, focus/dosage metrology, and composition metrology. However, such terms of art do not limit the scope of the term "metrology system" as described herein. In addition, the metrology system 100 may be configured for measurement of patterned wafers and/or unpatterned wafers. The metrology system may be configured as an inspection tool such as a LED inspection tool, edge inspection tool, backside inspection tool, macro-inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously) , and any other metrology or inspection tool that benefits from the

calibration of system parameters based on critical

dimension data. For purposes of this patent document, the terms "metrology" system and "inspection" system are synonymous .

[00123] Various embodiments are described herein for a semiconductor processing system (e.g., an inspection system or a lithography system) that may be used for processing a specimen. The term "specimen" is used herein to refer to a wafer, a reticle, or any other sample that may be processed

(e.g., printed or inspected for defects) by means known in the art .

[00124] As used herein, the term "wafer" generally refers to substrates formed of a semiconductor or non- semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities. In some cases, a wafer may include only the substrate

(i.e., bare wafer) . Alternatively, a wafer may include one or more layers of different materials formed upon a

substrate. One or more layers formed on a wafer may be "patterned" or "unpatterned . " For example, a wafer may include a plurality of dies having repeatable pattern features .

[00125] A "reticle" may be a reticle at any stage of a reticle fabrication process, or a completed reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle, or a "mask," is generally defined as a substantially transparent substrate having substantially opaque regions formed thereon and configured in a pattern. The substrate may include, for example, a glass material such as amorphous Si02. A reticle may be disposed above a resist-covered wafer during an exposure step of a lithography process such that the pattern on the reticle may be transferred to the resist.

[00126] One or more layers formed on a wafer may be

patterned or unpatterned. For example, a wafer may include a plurality of dies, each having repeatable pattern

features. Formation and processing of such layers of material may ultimately result in completed devices. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is being fabricated .

[00127] In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer- readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special- purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL) , or wireless

technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and

microwave are included in the definition of medium. Disk and disc, as used herein, includes compact disc (CD) , laser disc, optical disc, digital versatile disc (DVD) , floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media. [00128] Although certain specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above.

Accordingly, various modifications, adaptations, and combinations of various features of the described

embodiments can be practiced without departing from the scope of the invention as set forth in the claims.