Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
POST-DEVELOPMENT TREATMENT OF METAL-CONTAINING PHOTORESIST
Document Type and Number:
WIPO Patent Application WO/2023/215136
Kind Code:
A1
Abstract:
Various embodiments described herein relate to methods, apparatuses, and systems for post- development treatment of a metal-containing photoresist mask to improve lithographic performance. After development, the metal-containing photoresist mask may be exposed to one or more of the following treatments: thermal anneal, plasma exposure, exposure to reactive gases, and selective deposition of a protective film. In some embodiments, the metal-containing photoresist mask is exposed to one or more reactive gases to compositionally change the photoresist mask and/or selectively deposit a protective film on top surfaces and sidewalls of the photoresist mask. The treated photoresist mask may exhibit increased line CD for reduced dose- to-size and improved etch resistance.

Inventors:
LEE YOUNGHEE (US)
ZHAO HONGXIANG (US)
TAN SAMANTHA S H (US)
Application Number:
PCT/US2023/019871
Publication Date:
November 09, 2023
Filing Date:
April 25, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
G03F7/40; H01L21/308
Domestic Patent References:
WO2021202681A12021-10-07
WO2020190941A12020-09-24
WO2020264158A12020-12-30
Foreign References:
US20090134119A12009-05-28
US20130183609A12013-07-18
Attorney, Agent or Firm:
HO, Michael T. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A method of treating a substrate in a process chamber, the method comprising: providing the substrate in the process chamber, wherein the substrate is a semiconductor substrate comprising a substrate layer and a post-developed metal-containing photoresist mask over the substrate layer; and treating the post-developed metal-containing photoresist mask by exposure to one or more reactive gases.

2. The method of claim 1, further comprising: etching, after treating the post-developed metal-containing photoresist mask, the substrate layer to form recessed features using the post-developed metal-containing photoresist mask, wherein a critical dimension of the post-developed metal-containing photoresist mask is maintained during etching.

3. The method of claim 1, wherein treating the post-developed metal-containing photoresist mask comprises selectively depositing a protective layer on the post-developed metal-containing photoresist mask relative to the substrate layer, wherein the protective layer comprises carbon or a carbide, a nitride, a sulfide, a fluoride, an oxide, or an elemental metal.

4. The method of claim 3, wherein selectively depositing the protective layer comprises exposing the post-developed metal-containing photoresist mask to a metal precursor.

5. The method of claim 4, wherein the metal precursor is produced in-situ in the process chamber.

6. The method of claim 1, wherein the one or more reactive gases comprise a carbon- containing precursor or metal halide.

7. The method of claim 1, wherein treating the post-developed metal-containing photoresist mask comprises exposing the post-developed metal-containing photoresist mask to a carbon- containing precursor and selectively depositing a metal-containing layer on the post-developed metal-containing photoresist mask.

8. The method of claim 1, wherein treating the post-developed metal-containing photoresist mask increases one or more of the following material properties: a density, an etch resistance, and a critical dimension of the post-developed metal-containing photoresist mask.

9. The method of claim 1, wherein treating the post-developed metal-containing photoresist mask comprises thermally annealing the post-developed metal-containing photoresist mask at an elevated temperature between about 100°C and about 250°C.

10. The method of claim 1, wherein treating the post-developed metal-containing photoresist mask comprises exposing the post-developed metal-containing photoresist mask to the one or more reactive gases in plasma.

11. The method of claim 1, further comprising: developing a metal-containing photoresist to selectively remove a portion of the metalcontaining photoresist to form the post-developed metal-containing photoresist mask, wherein developing the metal -containing photoresist comprises exposing the metal-containing photoresist to a wet development chemistry or dry development chemistry.

12. A method of treating a substrate in a process chamber, the method comprising: providing the substrate in the process chamber, wherein the substrate is a semiconductor substrate comprising a substrate layer and a post-developed metal-containing photoresist mask over the substrate layer; and treating the post-developed metal-containing photoresist mask using one or more of the following operations: (i) thermally annealing the post-developed metal-containing photoresist mask, (ii) exposing the post-developed metal-containing photoresist mask to plasma, (iii) exposing the post-developed metal-containing photoresist mask to one or more reactive gases, and (iv) selectively depositing a protective layer on the post-developed metal-containing photoresist mask relative to the substrate layer.

13. The method of claim 12, wherein thermally annealing the post-developed metalcontaining photoresist mask comprises exposing the post-developed metal -containing photoresist mask to elevated temperatures between about 100°C and about 250°C to reduce defectivity and line width roughness (LWR) in the post-developed metal-containing photoresist mask.

14. The method of claim 12, wherein exposing the post-developed metal-containing photoresist mask to plasma densifies and reduces LWR in the post-developed metal-containing photoresist mask.

15. The method of claim 12, wherein exposing the post-developed metal-containing photoresist mask to the one or more reactive gases comprises exposing the post-developed metal-containing photoresist mask to carbon monoxide, carbon dioxide, metal carbonyl, organometallic, metal halide, or combination thereof to increase an etch resistance and/or a critical dimension of the post-developed metal-containing photoresist mask.

16. The method of claim 12, wherein selectively depositing the protective layer on the postdeveloped metal-containing photoresist mask comprises selectively depositing a carbon or carbide, a nitride, a sulfide, a fluoride, an oxide, or an elemental film to increase a critical dimension of the post-developed metal-containing photoresist mask.

17. An apparatus for treating a substrate in a process chamber, the apparatus comprising: a substrate support in the process chamber, wherein the substrate support is configured to support the substrate comprising a substrate layer and a post-developed metal-containing photoresist mask over the substrate layer; and a reactive gas source in fluid communication with the process chamber and configured to deliver one or more reactive gases via one or more gas inlets towards the substrate support to treat the post-developed metal-containing photoresist mask.

18. The apparatus of claim 17, further comprising: one or more heating elements configured to heat the substrate to an elevated temperature during treatment of the post-developed metal-containing photoresist mask.

19. The apparatus of claim 17, further comprising: a plasma source configured to generate plasma during treatment of the post-developed metal-containing photoresist mask.

20. The apparatus of claim 17, wherein the reactive gas source configured to deliver one or more reactive gases is configured to selectively deposit a protective film on the post-developed metal-containing photoresist mask during treatment of the post-developed metal-containing photoresist mask.

Description:
POST-DEVELOPMENT TREATMENT OF METAL-CONTAINING PHOTORESIST

INCORPORATION BY REFERENCE

[0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes.

FIELD

[0002] Implementations herein relate treatment of photoresist material and, more particularly, to treatment of metal-containing photoresist material after development in semiconductor fabrication.

BACKGROUND

[0003] The fabrication of semiconductor devices, such as integrated circuits, is a multi-step process involving photolithography. In general, the process includes the deposition of material on a wafer, and patterning the material through lithographic techniques to form structural features (e.g., transistors and circuitry) of the semiconductor device. The steps of a typical photolithography process known in the art include: preparing the substrate; applying a photoresist, such as by spin coating; exposing the photoresist to light in a desired pattern, causing the exposed areas of the photoresist to become more or less soluble in a developer solution; developing by applying a developer solution to remove either the exposed or the unexposed areas of the photoresist; and subsequent processing to create features on the areas of the substrate from which the photoresist has been removed, such as by etching or material deposition.

[0004] The evolution of semiconductor design has created the need, and has been driven by the ability, to create ever smaller features on semiconductor substrate materials. This progression of technology has been characterized in “Moore’s Law” as a doubling of the density of transistors in dense integrated circuits every two years. Indeed, chip design and manufacturing has progressed such that modern microprocessors may contain billions of transistors and other circuit features on a single chip. Individual features on such chips may be on the order of 22 nanometers (nm) or smaller, in some cases less than 10 nm.

[0005] One challenge in manufacturing devices having such small features is the ability to reliably and reproducibly create photolithographic masks having sufficient resolution. Current photolithography processes typically use 193 nm ultraviolet (UV) light to expose a photoresist. The fact that the light has a wavelength significantly greater than the desired size of the features to be produced on the semiconductor substrate creates inherent issues. Achieving feature sizes smaller than the wavelength of the light requires use of complex resolution enhancement techniques, such as multipatteming. Thus, there is significant interest and research effort in developing photolithographic techniques using shorter wavelength light, such as extreme ultraviolet radiation (EUV), having a wavelength of from 10 nm to 15 nm, e.g., 13.5 nm.

[0006] EUV photolithographic processes can present challenges, however, including low power output and loss of light during patterning. Traditional organic chemically amplified resists (CAR) similar to those used in 193 nm UV lithography have potential drawbacks when used in EUV lithography, particularly as they have low absorption coefficients in EUV region and the diffusion of photo-activated chemical species can result in blur or line edge roughness. Furthermore, in order to provide the etch resistance required to pattern underlying device layers, small features patterned in conventional CAR materials can result in high aspect ratios at risk of pattern collapse. Accordingly, there remains a need for improved EUV photoresist materials, having such properties as decreased thickness, greater absorbance, and greater etch resistance.

[0007] The background provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent that it is described in this background, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

SUMMARY

[0008] Provided herein is a method of treating a substrate in a process chamber. The method includes providing the substrate in the process chamber, where the substrate is a semiconductor substrate comprising a substrate layer and a post-developed metal-containing photoresist mask over the substrate layer, and treating the post-developed metal-containing photoresist mask by exposure to one or more reactive gases.

[0009] In some implementations, the method further includes etching, after treating the postdeveloped metal-containing photoresist mask, the substrate layer to form recessed features using the post-developed metal-containing photoresist mask, where a critical dimension of the postdeveloped metal-containing photoresist mask is maintained during etching. In some implementations, treating the post-developed metal-containing photoresist mask includes selectively depositing a protective layer on the post-developed metal -containing photoresist mask relative to the substrate layer, where the protective layer comprises carbon or a carbide, a nitride, a sulfide, a fluoride, an oxide, or an elemental metal. In some implementations, selectively depositing the protective layer includes exposing the post-developed metal-containing photoresist mask to a metal precursor. In some implementations, the metal precursor includes a metal halide or organometallic precursor. In some implementations, the metal precursor is produced in-situ in the process chamber. In some implementations, the one or more reactive gases include a carbon- containing precursor or metal halide. In some implementations, the carbon-containing precursor includes a metal carbonyl, carbon dioxide, or carbon monoxide. In some implementations, treating the post-developed metal-containing photoresist mask includes exposing the post-developed metal-containing photoresist mask to a carbon-containing precursor and selectively depositing a metal-containing layer on the post-developed metal-containing photoresist mask. In some implementations, treating the post-developed metal-containing photoresist mask increases one or more of the following material properties: a density, an etch resistance, and a critical dimension of the post-developed metal-containing photoresist mask. In some implementations, treating the post-developed metal-containing photoresist mask includes thermally annealing the postdeveloped metal-containing photoresist mask at an elevated temperature between about 100°C and about 250°C. In some implementations, treating the post-developed metal-containing photoresist mask includes exposing the post-developed metal-containing photoresist mask to the one or more reactive gases in plasma. In some implementations, the post-developed metal-containing photoresist mask includes a metal oxide-containing extreme ultraviolet (EUV) photoresist mask. In some implementations, the metal oxide-containing EUV photoresist mask includes tin oxide. In some implementations, the method further includes developing a metal-containing photoresist to selectively remove a portion of the metal-containing photoresist to form the post-developed metal-containing photoresist mask, where developing the metal-containing photoresist comprises exposing the metal-containing photoresist to a wet development chemistry or dry development chemistry.

[0010] Also provided herein is a method of treating a substrate in a process chamber. The method includes providing the substrate in the process chamber, where the substrate is a semiconductor substrate comprising a substrate layer and a post-developed metal-containing photoresist mask over the substrate layer, and treating the post-developed metal -containing photoresist mask using one or more of the following operations: (i) thermally annealing the postdeveloped metal -containing photoresist mask, (ii) exposing the post-developed metal-containing photoresist mask to plasma, (iii) exposing the post-developed metal-containing photoresist mask to one or more reactive gases, and (iv) selectively depositing a protective layer on the postdeveloped metal-containing photoresist mask relative to the substrate layer. [0011] In some implementations, thermally annealing the post-developed metal-containing photoresist mask comprises exposing the post-developed metal-containing photoresist mask to elevated temperatures between about 100°C and about 250°C to reduce defectivity and line width roughness (LWR) in the post-developed metal-containing photoresist mask. In some implementations, exposing the post-developed metal-containing photoresist mask to plasma densifies and reduces LWR in the post-developed metal-containing photoresist mask. In some implementations, exposing the post-developed metal -containing photoresist mask to the one or more reactive gases comprises exposing the post-developed metal-containing photoresist mask to carbon monoxide, carbon dioxide, metal carbonyl, organometallic, metal halide, or combination thereof to increase an etch resistance and/or a critical dimension of the post-developed metalcontaining photoresist mask. In some implementations, selectively depositing the protective layer on the post-developed metal-containing photoresist mask includes selectively depositing a carbon or carbide, a nitride, a sulfide, a fluoride, an oxide, or an elemental film to increase a critical dimension of the post-developed metal-containing photoresist mask. In some implementations, the method further includes developing a metal-containing photoresist to selectively remove a portion of the metal-containing photoresist to form the post-developed metal-containing photoresist mask, and etching the substrate layer to form recessed features using the postdeveloped metal-containing photoresist mask, where treating the post-developed metal -containing photoresist mask occurs between development and etching. In some implementations, the postdeveloped metal-containing photoresist mask includes a metal oxide-containing EUV photoresist mask.

[0012] Also provided herein is an apparatus for treating a substrate in a process chamber. The apparatus includes a substrate support in the process chamber, where the substrate support is configured to support the substrate including a substrate layer and a post-developed metalcontaining photoresist mask over the substrate layer, and a reactive gas source in fluid communication with the process chamber and configured to deliver one or more reactive gases via one or more gas inlets towards the substrate support to treat the post-developed metal-containing photoresist mask.

[0013] In some implementations, the apparatus further includes one or more heating elements configured to heat the substrate to an elevated temperature during treatment of the post-developed metal-containing photoresist mask. In some implementations, the one or more heating elements comprise one or more LEDs in the substrate support. In some implementations, the apparatus further includes a plasma source configured to generate plasma during treatment of the post- developed metal-containing photoresist mask. In some implementations, the reactive gas source configured to deliver one or more reactive gases is configured to selectively deposit a protective film on the post-developed metal-containing photoresist mask during treatment of the postdeveloped metal -containing photoresist mask. In some implementations, the process chamber configured to treat the post-developed metal-containing photoresist mask is configured to perform development of a metal-containing photoresist mask. In some implementations, the process chamber configured to treat the post-developed metal-containing photoresist mask is configured to perform pattern transfer etching of the substrate layer of the substrate using the post-developed metal-containing photoresist mask. In some implementations, the one or more reactive gas species includes an organic gas species, an organometallic gas species, a metal-containing gas species, or combinations thereof. In some implementations, the one or more reactive gas species include an oxygen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a nitrogen-containing gas, a halogen-containing gas, or combinations thereof.

BRIEF DESCRIPTION OF THE DRAWINGS

[0014] Figure 1 presents a flow diagram of an example method for depositing, development, and treating a photoresist according to some embodiments.

[0015] Figure 2 presents a flow diagram of an example method of treating a photoresist on a substrate after development according to some embodiments.

[0016] Figures 3 A-3D show cross-sectional schematic illustrations of various processing stages including development and treatment of photoresist according to some embodiments, where the treatment changes one or more properties of the photoresist.

[0017] Figures 4A-4D show cross-sectional schematic illustrations of various processing stages including development and treatment of photoresist according to some embodiments, where the treatment selectively deposits a protective layer on the photoresist.

[0018] Figures 5A-5D show cross-sectional schematic illustrations of various processing stages including development and treatment of photoresist according to some embodiments, where the treatment changes one or more properties of the photoresist and selectively deposits a protective layer on the photoresist.

[0019] Figure 6 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for descumming according to some embodiments.

[0020] Figure 7 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for reducing line width roughness (LWR) according to some embodiments.

[0021] Figure 8 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for increasing line CD by a chemical reaction with reactive gas species according to some embodiments.

[0022] Figure 9 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for increasing line CD with a selectively deposited protective layer according to some embodiments.

[0023] Figure 10 depicts a schematic illustration of an example process station for maintaining an environment that is suitable for performing photoresist development, photoresist treatment, and/or etch operations according to some embodiments.

[0024] Figure 11 depicts a schematic illustration of an example multi-station processing tool suitable for implementation of photoresist development, photoresist treatment, and/or etch operations described herein.

[0025] Figure 12 shows a cross-sectional schematic view of an example inductively-coupled plasma apparatus for implementing certain embodiments and operations described herein.

[0026] Figure 13 depicts a semiconductor process cluster tool architecture with vacuum- integrated deposition and patterning modules that interface with a vacuum transfer module, suitable for implementations of processes described herein.

DETAILED DESCRIPTION

[0027] This disclosure relates generally to the field of semiconductor processing. In particular, this disclosure is directed to post-development treatment of photoresists including metalcontaining photoresists. Such metal and/or metal oxide-containing photoresists may undergo treatment to change the chemical, physical, and/or optical properties of the photoresist after development and prior to pattern transfer. The photoresist treatment enhances the performance of the photoresist. For instance, the photoresist treatment can reduce dose-to-size (DtS), reduce LWR, increase line CD, improve etch resistance, reduce outgassing of tin or other elements, and/or reduce defects/line breaks.

[0028] Reference is made herein in detail to specific embodiments of the disclosure. Examples of the specific embodiments are illustrated in the accompanying drawings. While the disclosure will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the disclosure to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present disclosure.

[0029] Patterning of thin films in semiconductor processing is often an important step in the fabrication of semiconductors. Patterning involves lithography. In conventional photolithography, such as 193 nm photolithography, patterns are printed onto a photosensitive photoresist film by exposing the photoresist to photons in selective areas defined by a photomask, thereby causing a chemical reaction in the exposed photoresist and creating a chemical contrast that can be leveraged in the development step to remove certain portions of the photoresist to form the pattern. The patterned and developed photoresist film then can be used as an etch mask to transfer the pattern into underlying films that are composed of metal, oxide, etc.

[0030] Advanced technology nodes (as defined by the International Technology Roadmap for Semiconductors) include nodes 22 nm, 16 nm, and beyond. In the 16 nm node, for example, the width of a via or line in a Damascene structure is typically no greater than about 30 nm. Scaling of features on advanced semiconductor integrated circuits (ICs) and other devices is driving lithography to improve resolution.

[0031] Extreme ultraviolet (EUV) lithography can extend lithography technology by moving to smaller imaging source wavelengths than would be achievable with conventional photolithography methods. EUV light sources at approximately 10-20 nm, or 11-14 nm wavelength, for example 13.5 nm wavelength, can be used for leading-edge lithography tools, also referred to as scanners. The EUV radiation is strongly absorbed in a wide range of solid and fluid materials including quartz and water vapor, and so operates in a vacuum.

[0032] EUV lithography makes use of EUV resists that are patterned to form masks for use in etching underlying layers. EUV resists may be polymer-based chemically amplified resists (CARs) produced by liquid-based spin-on techniques. An alternative to CARs is directly photopatternable metal oxide-containing films, such as those available from Inpria Corp. (Corvallis, OR), and described, for example, in U.S. Pat Pub. Nos. US 2017/0102612, US 2016/0216606, and US 2016/0116839, incorporated by reference herein at least for their disclosure of photopatternable metal oxide-containing films. Such films may be produced by spin-on techniques or dry vapor-deposited. The metal oxide-containing film can be patterned directly (i.e., without the use of a separate photoresist) by EUV exposure in a vacuum ambient providing sub- 30 nm patterning resolution, for example as described in U.S. Pat. No. 9,996,004, issued June 12, 2018 and titled EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDECONTAINING HARDMASKS, and/or in International Appl. No. PCT/US 19/31618, published as International Pub. No. WO 2019/217749, filed May 9, 2019, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS, the disclosures of which at least relating to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks is incorporated by reference herein. Generally, the patterning involves exposure of the EUV resist with EUV radiation to form a photo pattern in the resist, followed by development to remove a portion of the resist according to the photo pattern to form the mask.

[0033] Directly photopatternable EUV or DUV resists may be composed of or contain metals and/or metal oxides mixed within organic components. The metals/metal oxides can enhance the EUV or DUV photon adsorption, generate secondary electrons, and/or show increased etch selectivity to an underlying film stack and device layers. These resists can be developed using a wet (solvent) approach, which requires the wafer to move to the track, where it is exposed to developing solvent, dried, and then baked. Such resists may also be developed using a dry approach or a combination of wet and dry approaches, as described herein.

[0034] Generally, resists can be employed as a positive tone resist or a negative tone resist by controlling the chemistry of the resist and/or the solubility or reactivity of the developer. It would be beneficial to have a EUV or DUV resist that can serve as either a negative tone resist or a positive tone resist.

[0035] While the following may describe techniques as relating to EUV processes, such techniques may also be applicable to other next generation lithographic techniques. Various radiation sources may be employed, including EUV (generally about 13.5 nm), DUV (deep-UV, generally in the 248 nm or 193 nm range with excimer laser sources), X-ray (including EUV at the lower energy range of the X-ray range), and e-beam (including a wide energy range).

[0036] Such methods include those where a substrate, having exposed hydroxyl groups, is contacted with a hydrocarbyl-substituted tin capping agent to form a hydrocarbyl-terminated SnOx film as the imaging/photoresist layer on the surface of the substrate. The specific methods may depend on the particular materials and applications used in the semiconductor substrate and ultimate semiconducting device. Thus, the methods described in this application are merely exemplary of the methods and materials that may be used in present technology.

[0037] The present disclosure relates to post-development treatment of photoresist. A metal or metal oxide-containing photoresist may be wet or dry deposited. The metal or metal oxidecontaining photoresist may have high absorption of EUV radiation so that the photoresist may be patterned by EUV exposure to form exposed and unexposed regions. After development selectively removes exposed or unexposed regions of the photopatterned metal or metal oxide- containing photoresist, the post-developed photoresist may be treated. Such treatment may include one or more of the following operations: (i) thermal annealing, (ii) plasma exposure, (iii) reactive gas exposure, and (iv) selective deposition of protective layer. Such treatment may achieve one or more of the following advantages: reduced defectivity, reduced LWR, reduced DtS, reduced outgassing (e.g., tin outgassing), increased etch resistance, and increased line CD, thereby improving performance of the metal or metal oxide-containing photoresist during etch.

[0038] Figure 1 presents a flow diagram of an example method for depositing, development, and treating a photoresist according to some embodiments. The operations of a process 100 may be performed in different order and/or with different, fewer, or additional operations. One or more operations of the process 100 may be performed using an apparatus described in any one of Figures 10-13. In some embodiments, the operations of the process 100 may be implemented, at least in part, according to software stored in one or more non-transitory computer readable media.

[0039] At block 102 of the process 100, a layer of photoresist is deposited. This may be either a dry deposition process such as a vapor deposition process or a wet deposition process such as a spin-on deposition process. In one embodiment, a metal-containing precursor is deposited as a solution by using a liquid-based spin-on technique. In another embodiment, a metal-containing precursor is deposited in vapor form by using a dry technique (e.g., chemical vapor deposition).

[0040] At block 104 of the process 100, the backside surface or bevel of the substrate can be optionally cleaned, and/or an edge bead of the photoresist that was deposited in the prior step can be removed. Such cleaning or removing steps can be useful for removing particles that may be present after depositing a photoresist layer. The removing step can include processing the wafer with a wet metal oxide (MeOx) edge bead removal (EBR) step.

[0041] At block 106 of the process 100, a post-application bake (PAB) or a post-application treatment can be optionally performed. Such treatment can improve etch resistance of unexposed material to aqueous or non-aqueous solution. In one instance, such treatment can enhance the chemical composition difference (or contrast) between unexposed and exposed regions, thus the PAB operation is conducted. In another instance, such treatment can reduce the chemical composition difference (or contrast) between unexposed and exposed regions, thus the PAB operation is not conducted. In yet another instance, use of PAB removes residual moisture from the layer to form a hardened resist film. The PAB can involve some combination of thermal treatment, chemical exposure, and/or moisture to increase the EUV sensitivity of the film, thereby reducing the EUV dose to develop a pattern in the film. In particular embodiments, the PAB step is conducted at a temperature greater than about 100°C or at a temperature of from about 100°C to about 200°C or from about 100°C to about 250°C. In other embodiments, the PAB step is conducted at a temperature from about 190°C to about 350°C in the absence of an O-containing gas. In another instance, post-application treatment includes exposing the film to an inert gas or CO2, which can optionally include cooling or heating. Use of an inert gas can provide metal- oxygen-metal species, and use of CO2 can provide metal carbonate species within the film.

[0042] At block 108 of the process 100, the film is exposed to EUV radiation to develop a pattern. Generally, the EUV exposure causes a change in the chemical composition of the film, creating a contrast in etch selectivity that can be used to remove a portion of the film. Such a contrast can provide a positive tone resist. However, it will be understood that the EUV exposure can alternatively cause a contrast such that unexposed regions are selectively removed. Such a contrast can provide a negative tone resist, as described herein. EUV exposure can include, e.g., an exposure having a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient (e.g., about 13.5 nm in a vacuum ambient).

[0043] At block 110 of the process 100, an optional post-exposure bake (PEB) is performed on the exposed film, thereby further removing residual moisture, promoting chemical condensation within the film, or increasing contrast in etch selectivity of the exposed film; or post-treating the film in any useful manner. In one instance, such treatment can reduce the chemical composition difference (or contrast) between unexposed and exposed regions, thus the PEB operation is not conducted. In another instance, the exposed film can be thermally treated (e.g., at a low temperature and/or optionally in the presence of various chemical species) to promote reactivity within the EUV exposed or unexposed portions of the resist upon exposure to a stripping agent or a positive tone developer (e.g., a halide-based aqueous acid, such as HC1, HBr, HI, or combinations thereof). In another instance, the exposed film can be thermally treated (e.g., at a low temperature) to further cross-link ligands within the EUV unexposed portions of the resist, thereby providing EUV exposed portions that can be selectively removed upon exposure to a stripping agent (e.g., a positive tone developer). In yet another instance, PEB is omitted.

[0044] At block 112 of the process 100, the photoresist pattern is developed by way of positive tone development or negative tone development. In various embodiments of development, the unexposed regions are selectively removed (to provide a pattern within a negative tone resist). These steps may be wet processes using one or more developers or developing solutions, followed by an optional rinse operation (e.g., with deionized water or another solvent) or an optional dry operation (e.g., with air or under inert conditions with optional heat). In particular embodiments, the development step is a wet process applied to a tin-based film. In other embodiments, the development step is a dry process applied to a tin-based film. For example, the dry process includes a halide-containing chemistry.

[0045] At block 114 of the process 100, the photoresist undergoes treatment prior to pattern transfer. The treatment may be a thermal treatment, plasma treatment, chemical treatment, selective deposition treatment, or a combination of the aforementioned treatments. Thermal treatment may expose the photoresist to an elevated temperature between about 200°C and about 300°C to reduce defectivity and LWR. Plasma treatment may expose the photoresist to plasma such as a direct (in-situ) plasma or remote plasma in order to densify the photoresist and reduce LWR. Chemical treatment may expose the photoresist to reactive chemical species such as halide- based species (e.g., tungsten hexafluoride) or carbon-containing precursor (e.g., carbon monoxide, metal organic precursors) to improve etch resistance, reduce outgassing, and increase line CD. Selective deposition treatment may expose the photoresist to chemical precursors for selectively depositing a protective coating on the photoresist to reduce DtS, improve etch resistance, reduce outgassing, and increase line CD. Any one or more of the foregoing treatments are applied to the photoresist after development to improve the performance of the photoresist during pattern transfer.

[0046] At block 116 of the process 100, one or more substrate layers are etched using the photoresist mask for pattern transfer. Such substrate layers are underlying the photoresist mask and may be removable by lithographic etching. Pattern transfer etching may etch material to a desired depth to form a plurality of patterned features. In some embodiments, the one or more substrate layers may include amorphous carbon (a-C), amorphous silicon (a-Si), tin oxide (e.g., SnOx), silicon oxide (e.g., SiCh), silicon oxynitride (e.g., SiOxNy), silicon oxycarbide (e.g., SiOxCy), silicon nitride (e.g., SiaN- ), titanium oxide (e.g., TiCh), titanium nitride (e.g., TiN), tungsten (e.g., W), doped carbon (e.g., W-doped C), tungsten oxide (e.g., WOx), hafnium oxide (e.g., HfCb), zirconium oxide (e.g., ZrCh), and aluminum oxide (e.g., AI2O3). Any defects or variations in CD in the photoresist mask are replicated in the material being patterned during pattern transfer etching. Additionally, poor etch resistance adversely impacts transfer of patterns to underlying substrate layers over the course of etching. Post-development treatment of the photoresist mask mitigates the foregoing issues to ensure successful pattern transfer during pattern transfer etching.

[0047] Figure 2 presents a flow diagram of an example method of treating a photoresist on a substrate after development according to some embodiments. The operations of a process 200 may be performed in different orders and/or with different, fewer, or additional operations. Aspects of the process 200 may be described with reference to Figures 3A-3D, 4A-4D, 5A-5D, and 6-9. One or more operations of the process 200 may be performed using an apparatus described in any one of Figures 10-13. In some embodiments, the operations of the process 200 may be implemented, at least in part, according to software stored in one or more non-transitory computer readable media.

[0048] At block 210 of the process 200, a metal -containing photoresist is developed to selectively remove a portion of the metal-containing photoresist to form a post-developed metalcontaining photoresist mask. In some embodiments, the metal-containing photoresist may be a metal-containing EUV photoresist. In some embodiments, the metal-containing EUV photoresist includes tin oxide.

[0049] The metal-containing photoresist is provided on a substrate. Prior to development, a metal-containing photoresist film is deposited on the substrate using wet or dry deposition processes. The metal-containing photoresist film is exposed to radiation such as EUV radiation according to a desired pattern to form exposed and unexposed regions of the metal-containing photoresist film. Generally speaking, exposure to radiation causes a change in the chemical composition of the film, creating a contrast in etch selectivity that can be used to remove a portion of the film. Afterwards, the metal-containing photoresist film is developed by way of positive tone development or negative tone development using wet or dry developer chemistry. Details of photoresist film deposition, exposure, development, and other processes are described below.

Deposition of metal-containing resist material

[0050] A photoresist film can be deposited on a substrate. Such a film can be deposited by using wet or dry deposition processes, in which a metal-containing precursor (e.g., a tin-containing precursor, such as any described herein) is provided in proximity to a substrate. In one embodiment, the metal-containing precursor is deposited as a solution by using a liquid-based spin-on technique. In another embodiment, the metal-containing precursor is deposited in vapor form by using a dry technique (e.g., chemical vapor deposition). While the present disclosure often shows the metal-containing precursor being a tin-containing precursor, other metal atoms can be employed. [0051] The layers and films described herein may include an element (e.g., a metal atom or a non-metal atom) having a high photoabsorption cross-section, such as equal to or greater than IxlO 7 cm 2 /mol. Such elements can be provided by depositing one or more precursor(s) to provide the imaging layer.

[0052] In some embodiments, the film is a radiation-sensitive film (e.g., an EUV-sensitive film). This film, in turn, can serve as an EUV resist, as further described herein. In particular embodiments, the layer or film can include one or more ligands (e.g., EUV labile ligands) that can be removed, cleaved, or cross-linked by radiation (e.g., EUV or DUV radiation).

[0053] The precursor can provide a patternable film that is sensitive to radiation (or a patterning radiation-sensitive film or a photopatternable film). Such radiation can include EUV radiation, DUV radiation, or UV radiation that is provided by irradiating through a patterned mask, thereby being a patterned radiation. The film itself can be altered by being exposed to such radiation, such that the film is radiation-sensitive or photosensitive. In particular embodiments, the precursor is an organometallic compound, which includes at least one metal center.

[0054] The precursor can have any useful number and type of ligand(s). In some embodiments, the ligand can be characterized by its ability to react in the presence of a counter-reactant, or in the presence of patterned radiation. For instance, the precursor can include a ligand that reacts with a counter-reactant, which can introduce linkages between metal centers (e.g., an -O- linkage). In another instance, the precursor can include a ligand that eliminates in the presence of patterned radiation. Such an EUV labile ligand can include branched or linear alkyl groups having a betahydrogen, as well as any described herein for R in formula (I) or (II). In one embodiment, the precursor is a capping agent having two, three, or more hydrophobic ligands (e.g., organic ligands, including C2-6 optionally substituted alkyl, alkenyl, or alkynyl).

[0055] Other EUV labile ligands include alkyl, alkenyl, or alkynyl groups, which can be branched or linear. Yet other EUV labile ligands include aryl groups, such as those having one, two, or three rings. Such alkyl, alkenyl, alkynyl, and aryl groups can be substituted with one or more halos (e.g., one or more fluoro). Non-limiting labile ligands include optionally substituted C1-12 alkyl, optionally substituted C2-12 alkenyl, optionally substituted C2-12 alkynyl, optionally substituted C1-12 haloalkyl, optionally substituted C2-12 haloalkenyl, optionally substituted C2-12 haloalkynyl, optionally substituted aryl, or optionally substituted haloaryl.

[0056] The precursor can be any useful metal-containing precursor, such as an organometallic agent, a metal halide, or a capping agent (e.g., as described herein). In a non-limiting instance, the precursor includes a structure having formula (I): MaRb (I), wherein: M is a metal or an atom having a high EUV absorption cross-section; each R is, independently, H, halo, optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted cycloalkenyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted alkoxy, optionally substituted alkanoyloxy, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, oxo, an anionic ligand, a neutral ligand, or a multidentate ligand; a > 1; and b > 1.

[0057] In another non-limiting instance, the precursor includes a structure having formula (II): MaRbLc (II), wherein: M is a metal or an atom having a high EUV absorption cross-section; each R is, independently, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or L; each L is, independently, a ligand, an anionic ligand, a neutral ligand, a multidentate ligand, ion, or other moiety that is reactive with a counter-reactant, in which R and L with M, taken together, can optionally form a heterocyclyl group or in which R and L, taken together, can optionally form a heterocyclyl group; a > 1; b > 1; and c > 1.

[0058] In some embodiments, each ligand within the precursor can be one that is reactive with a counter-reactant. In one instance, the precursor includes a structure having formula (II), in which each R is, independently, L. In another instance, the precursor includes a structure having formula (Ila)

MaLc (Ila), wherein: M is a metal or an atom having a high EUV absorption cross-section; each L is, independently, a ligand, ion, or other moiety that is reactive with a counter-reactant, in which two L, taken together, can optionally form a heterocyclyl group; a > 1; and c > 1. In particular embodiments of formula (Ila), a is 1. In further embodiments, c is 2, 3, or 4.

[0059] For any formula herein, M can be a metal or a metalloid or an atom with a high patterning radiation-absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than IxlO 7 cm 2 /mol). In some embodiments, M is tin (Sn), bismuth (Bi), tellurium (Te), cesium (Cs), antimony (Sb), indium (In), molybdenum (Mo), hafnium (Hf), iodine (I), zirconium (Zr), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), zinc (Zn), silver (Ag), platinum (Pt), and lead (Pb). In further embodiments, M is Sn, a is 1, and c is 4 in formula (I), (II), or (Ila). In other embodiments, M is Sn, a is 1, and c is 2 in formula (I), (II), or (Ila). In particular embodiments, M is Sn(II) (e.g., in formula (I), (II), or (Ila)), thereby providing a precursor that is a Sn(II)-based compound. In other embodiments, M is Sn(IV) (e.g., in formula (I), (II), or (Ila)), thereby providing a precursor that is a Sn(IV)-based compound. In particular embodiments, the precursor includes iodine (e.g., as in periodate).

[0060] For any formula herein, each R is, independently, H, halo, optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted cycloalkenyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted alkoxy (e.g., -OR 1 , in which R 1 can be optionally substituted alkyl), optionally substituted alkanoyloxy, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, oxo, an anionic ligand (e.g., oxido, chlorido, hydrido, acetate, iminodiacetate, propanoate, butanoate, benzoate, etc.), a neutral ligand, or a multidentate ligand.

[0061] In some embodiments, the optionally substituted amino is -NR’R 2 , in which each R 1 and R 2 is, independently, H or alkyl; or in which R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the optionally substituted bis(trialkylsilyl)amino is -N(SiR 1 R 2 R 3 )2, in which each R 1 , R 2 , and R 3 is, independently, optionally substituted alkyl. In yet other embodiments, the optionally substituted trialkylsilyl is -SiR'R 2 R 3 , in which each R 1 , R 2 , and R 3 is, independently, optionally substituted alkyl.

[0062] In other embodiments, the formula includes a first R (or first L) that is -NR’R 2 and a second R (or second L) that is -NR’R 2 , in which each R 1 and R 2 is, independently, H or optionally substituted alkyl; or in which R 1 from a first R (or first L) and R 1 from a second R (or second L), taken together with the nitrogen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein. In yet other embodiments, the formula includes a first R that is -OR 1 and a second R that is -OR 1 , in which each R 1 is, independently, H or optionally substituted alkyl; or in which R 1 from a first R and R 1 from a second R, taken together with the oxygen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein.

[0063] In some embodiments, at least one of R or L (e.g., in formula (I), (II), or (Ila)) is optionally substituted alkyl. Non-limiting alkyl groups include, e.g., CnHzn+i, where n is 1, 2, 3, or greater, such as methyl, ethyl, //-propyl, isopropyl, //-butyl, isobutyl, .s-butyl, or /-butyl. In various embodiments, R or L has at least one beta-hydrogen, beta-halogen, or beta-fluorine. In other embodiments, at least one of R or L is a halo- substituted alkyl (e.g., a fluoro- substituted alkyl).

[0064] In some embodiments, each R or L or at least one R or L (e.g., in formula (I), (II), or (Ila)) is halo. In particular, the precursor can be a metal halide. Non-limiting metal halides include SnBr 4 , SnCl 4 , Snl 4 , and SbCh.

[0065] In some embodiments, each R or L or at least one R or L (e.g., in formula (I), (II), or (Ila)) can include a nitrogen atom. In particular embodiments, one or more R or L can be optionally substituted amino, an optionally substituted monoalkylamino (e.g., -NR 1 !!, in which R 1 is optionally substituted alkyl), an optionally substituted dialkylamino (e.g., -NR’R 2 , in which each R 1 and R 2 is, independently, optionally substituted alkyl), or optionally substituted bis(trialkylsilyl)amino. Non-limiting R and L substituents can include, e.g., -NMe2, -NHMe, -NEt 2 , -NHEt, -NMeEt, -N(r-Bu)-[CHCH 3 ] 2 -N(t-Bu)- (tbba), -N(SiMe 3 ) 2 , and -N(SiEt 3 ) 2 .

[0066] In some embodiments, each R or L or at least one R or L (e.g., in formula (I), (II), or (Ila)) can include a silicon atom. In particular embodiments, one or more R or L can be optionally substituted trialkylsilyl or optionally substituted bis(trialkylsilyl)amino. Non-limiting R or L substituents can include, e.g., -SiMe 3 , -SiEt 3 , -N(SiMe 3 )2, and -N(SiEt 3 )2.

[0067] In some embodiments, each R or L or at least one R or L (e.g., in formula (I), (II), or (Ila)) can include an oxygen atom. In particular embodiments, one or more R or L can be optionally substituted alkoxy or optionally substituted alkanoyloxy. Non-limiting R or L substituents include, e.g., methoxy, ethoxy, isopropoxy (z-PrO), t-butoxy (t-BuO), acetate (-OC(O)-CH 3 ), and -O=C(CH 3 )-CH=C(CH 3 )-O- (acac).

[0068] Any formulas herein can include one or more neutral ligands. Non-limiting neutral ligands include an optionally substituted amine (e.g., NR 3 or R2N-Ak-NR2, in which each R can be, independently, H, optionally substituted alkyl, optionally substituted hydrocarbyl, or optionally substituted aryl, and Ak is optionally substituted alkylene), an optionally substituted phosphine (e.g., PR 3 or R2P-Ak-PR2, in which each R can be, independently, H, optionally substituted alkyl, optionally substituted hydrocarbyl, or optionally substituted aryl, and Ak is optionally substituted alkylene), an optionally substituted ether (e.g., OR2, in which each R can be, independently, H, optionally substituted alkyl, optionally substituted hydrocarbyl, or optionally substituted aryl), an optionally substituted alkyl, an optionally substituted alkene, an optionally substituted alkyne, an optionally substituted benzene, oxo, or carbon monoxide.

[0069] Any formulas herein can include one or more multidentate (e.g., bidentate) ligands. Nonlimiting multidentate ligand include a diketonate (e.g., acetyl acetonate (acac) or -OC(R 1 )-Ak- (R 2 )CO- or -OC(R 1 )-C(R 2 )-(R 1 )CO-), a bidentate chelating dinitrogen (e.g., ^(R^-Ak-^R 1 )- or -N(R 3 )-CR 4 -CR 2 =N(R 1 )-), an aromatic (e.g., -Ar-), an amidinate (e.g., -N(R 1 )-C(R 2 )-N(R 1 )-), an aminoalkoxide (e.g., -N R^-Ak-O- or -N(R 1 )2-Ak-O-), a diazadienyl (e.g., -N(R 1 )-C(R 2 )-C(R 2 )- N(R’)-), a cyclopentadienyl, a pyrazolate, an optionally substituted heterocyclyl, an optionally substituted alkylene, or an optionally substituted heteroalkylene. In particular embodiments, each R 1 is, independently, H, optionally substituted alkyl, optionally substituted haloalkyl, or optionally substituted aryl; each R 2 is, independently, H or optionally substituted alkyl; R 3 and R 4 , taken together, forms an optionally substituted heterocyclyl; Ak is optionally substituted alkylene; and Ar is optionally substituted arylene.

[0070] In particular embodiments, the precursor includes tin. In some embodiments, the tin precursor includes SnR or SnR2 or SnR4 or RsSnSnRs, wherein each R is, independently, H, halo, optionally substituted C1-12 alkyl, optionally substituted C1-12 alkoxy, optionally substituted amino (e.g., -NR’R 2 ), optionally substituted C2-12 alkenyl, optionally substituted C2-12 alkynyl, optionally substituted C3-8 cycloalkyl, optionally substituted aryl, cyclopentadienyl, optionally substituted bis(trialkylsilyl)amino (e.g., -N(SiR 1 R 2 R 3 )2), optionally substituted alkanoyloxy (e.g., acetate), a diketonate (e.g., -OC(R 1 )-Ak-(R 2 )CO-), or a bidentate chelating dinitrogen (e.g., ^(R^-Ak-N 1 )-). In particular embodiments, each R 1 , R 2 , and R 3 is, independently, H or C1-12 alkyl (e.g., methyl, ethyl, isopropyl, /-butyl, or neopentyl); and Ak is optionally substituted C1-6 alkylene. In particular embodiments, each R is, independently, halo, optionally substituted C1-12 alkoxy, optionally substituted amino, optionally substituted aryl, cyclopentadienyl, or a diketonate. Non-limiting tin precursors include SnF2, SnH4, SnBn, SnCh, Snl4, tetramethyl tin (SnMe4), tetraethyl tin (SnEt4), trimethyl tin chloride (SnMesCl), dimethyl tin dichloride (SnMe2Ch), methyl tin trichloride (SnMeCk), tetraallyltin, tetravinyl tin, hexaphenyl ditin (IV) (PhsSn-SnPhs, in which Ph is phenyl), dibutyldiphenyltin (SnBu2Ph2), trimethyl(phenyl) tin (SnMesPh), trimethyl(phenylethynyl) tin, tricyclohexyl tin hydride, tributyl tin hydride (SnBusH), dibutyltin diacetate (SnBu2(CH3COO)2), tin(II) acetylacetonate (Sn(acac)2), tributyltin ethoxide (SnBu3(OEt)), dibutyltin dimethoxide (SnBu2(OMe)2), tributyltin methoxide (SnBu3(OMe)), tin(IV) tert-butoxide (Sn(t-BuO)4), n-butyltin tributoxide (Sn(zz-Bu)(/-BuO)3), tetrakis(dimethylamino)tin (Sn(NMe2)4), tetrakis(ethylmethylamino)tin (Sn(NMeEt)4), tetrakis(diethylamino)tin(IV) (Sn(NEt2)4), (dimethylamino)trimethyl tin(IV) (Sn(Me)3(NMe2), Sn(z-Pr)(NMe2)3, Sn(//-Bu)(NMe2)3, Sn( -Bu)(NMe2)3, Sn(z-Bu)(NMe2)3, Sn(/-Bu)(NMe2)3, Sn(/- Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (l,3-bis(l,l-dimethylethyl)-4,5-dimethyl- (4A,5A)-l,3,2-diazastannolidin-2-ylidene), or bis[bis(trimethylsilyl)amino] tin (Sn[N(SiMe3)2]2).

[0071] In other embodiments, the precursor includes bismuth, such as in BiR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl, mono-Ci-12 alkylamino (e.g., -NR 1 !!), di-Ci -12 alkylamino (e.g., -NR X R 2 ), optionally substituted aryl, optionally substituted bis(trialkylsilyl)amino (e.g., -N(SiR 1 R 2 R 3 )2), or a diketonate (e.g., -OC(R 4 )-Ak-(R 5 )CO-). In particular embodiments, each R 1 , R 2 , and R 3 is, independently, C1-12 alkyl (e.g., methyl, ethyl, isopropyl, /-butyl, or neopentyl); and each R 4 and R 5 is, independently, H or optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, /-butyl, or neopentyl). Non-limiting bismuth precursors include BiCh, BiMes, BiPhs, Bi(NMe2)3, Bi[N(SiMe3)2]3, and Bi(thd)3, in which thd is 2, 2,6,6- tetram ethyl -3,5 -heptanedi onate .

[0072] In other embodiments, the precursor includes tellurium, such as TeR2 or TeR4, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t- butyl, and neopentyl), optionally substituted C1-12 alkoxy, optionally substituted aryl, hydroxyl, oxo, or optionally substituted trialkylsilyl. Non-limiting tellurium precursors include dimethyl tellurium (TeMe2), diethyl tellurium (TeEt2), di (//-butyl) tellurium (Te(//-Bu)2), di(isopropyl) tellurium (Te(/-Pr)2), di (/-butyl) tellurium (Te(/-Bu)2), /-butyl tellurium hydride (Te(/-Bu)(H)), Te(OEt)4, bis(trimethylsilyl)tellurium (Te(SiMe3)2), and bis(triethylsilyl) tellurium (Te(SiEt3)2).

[0073] The precursor can include antimony, such as in SbR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, /-butyl, and neopentyl), optionally substituted C1-12 alkoxy, or optionally substituted amino (e.g., -NR’R 2 , in which each R 1 and R 2 is, independently, H or optionally substituted C1-12 alkyl). Non-limiting antimony precursors include SbCh, Sb(OEt)3, Sb(O//-Bu)3, and Sb(NMe2)3.

[0074] Other precursors include indium precursors, such as in InR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, /-butyl, and neopentyl), or a diketonate (e.g., -OC(R 4 )-Ak-(R 5 )CO-, in which each R 4 and R 5 is, independently, H or Ci -12 alkyl). Non-limiting indium precursors include InCp, in which Cp is cyclopentadienyl, InCh, InMe3, In(acac)3, In(CF3COCHCOCH3)3, and In(thd)3.

[0075] The precursor can include iodine, such as RI, wherein R is iodo (I) or optionally substituted C1-12 alkyl, or periodate. Non-limiting iodine precursors include iodine gas (I2), diiodomethane (CH2I2), and periodate.

[0076] Yet other precursors and non-limiting substituents are described herein. For instance, precursors can be any having a structure of formulas (I), (II), and (Ila), as described above; or formulas (III), (IV), (V), (VI), (VII), or (VIII), as described below. Any of the substituents M, R, X, or L, as described herein, can be employed in any of formulas (I), (II), (Ila), (III), (IV), (V), (VI), (VII), or (VIII).

[0077] Various atoms present in the precursor and/or counter-reactant can be provided within a gradient film. In some embodiments of the techniques discussed herein, a non-limiting strategy that can further improve the hydrophobicity contrast within a photoresist film by creating a film in which the film composition is vertically graded, resulting in a depth-dependent hydrophobic character. In a homogenous photoresist, the hydrophobic character of the film is same throughout the bulk of the film and the top surface of the film. By increasing the hydrophobicity of the film at the top of the film relative to the bottom of the film (closer to the substrate), it becomes possible to more effectively avoid dissolution through the top surface of the film by an aqueous acid solution.

[0078] The strategy of engineering a vertical composition gradient in a photoresist film is particularly applicable to dry deposition methods, such as CVD and ALD, and can be realized by tuning the flow ratios between different reactants during deposition. The type of composition gradients that can be engineered include: the ratios between different R or L ligands for the precursor, use of different precursors having more hydrophobic R ligands, the percentages of counter-reactants that contain carbon-containing elements, and combinations of the above.

[0079] In addition, such compositional gradients can include a higher fraction of bulky, terminal substituents located at the top surface of the film. For example, in the case of Sn-based resists, the incorporation of tin precursors with two or more R groups is possible at the top surface, thereby presenting additional hydrophobic R groups at the top surface of the photoresist film.

[0080] In one embodiment, the gradient film can include a first concentration of carbon content in a top portion of the film (away from the substrate) and a second concentration of carbon content in a bottom portion of the film (closer to the substrate), in which the first and second concentration values are different. In one instance, the first concentration is greater than the second concentration. Non-limiting gradients include a linear gradient, an exponential gradient, a sigmoidal gradient, and the like. In particular embodiments, gradient density films of EUV- responsive organic moi eties can yield more homogeneous film properties of EUV exposed areas at all depths in the film, which might improve development processes, improve EUV sensitivity, and/or improve patterning quality (e.g., having improved LWR and/or LER).

[0081] Such gradient films can be formed by using any precursors (e.g., tin or non-tin precursors) and/or counter-reactants described herein. Yet other films, methods, precursors, and other compounds are described in U.S. Provisional Pat. Appl. No. 62/909,430, filed October 2, 2019, and International Application No. PCT/US20/53856, filed October 1, 2020, published as International Publication No. WO 2021/067632, in which each is titled SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS; and International Appl. No. PCT/US20/70172, filed June 24, 2020, published as International Publication No. WO 2020/264557, and titled PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-AB SORB ING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT, the disclosures of which at least relating to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks are incorporated by reference herein.

[0082] Various atoms present in the precursor and/or counter-reactant can be provided within a capping layer, which in turn is disposed on any useful layer or structure. The capping layer can be any useful thickness (e.g., any thickness described herein, including from about 0.1 nm to about 5 nm).

[0083] Furthermore, two or more different precursors can be employed within each layer (e.g., a film or a capping layer). For instance, two or more of any metal-containing precursors herein can be employed to form an alloy. In one non-limiting instance, tin telluride can be formed by employing tin precursor including an -NR2 ligand with RTeH, RTeD, or TeR2 precursors, in which R is an alkyl, particularly Lbutyl or z-propyl. In another instance, a metal telluride can be formed by using a first precursor including an alkoxy or a halo ligand (e.g., SbCh) with a tellurium- containing precursor including a trialkylsilyl ligand (e.g., bi s(trimethyl silyl )tellurium).

[0084] Yet other exemplary EUV-sensitive materials, as well as processing methods and apparatuses, are described in U.S. Patent No. 9,996,004; International Patent Publication No. WO 2020/102085; and International Patent Publication No. WO 2019/217749, each of which is incorporated herein by reference in its entirety.

[0085] As described herein, the films, layers, and methods herein can be employed with any useful precursor. In some instances, the precursor includes a metal halide having the following formula (III):

MXn (III), in which M is a metal, X is halo, and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. Exemplary metal halides include SnBn, SnCh, SnL, and SbCh.

[0086] Another non-limiting precursor includes a structure having formula (IV):

MRn (IV), in which M is a metal; each R is independently H, an optionally substituted alkyl, amino (e.g., -NR2, in which each R is independently alkyl), optionally substituted bis(trialkylsilyl) amino (e.g., -N(SiR3)2, in which each R is independently alkyl), or an optionally substituted trialkylsilyl (e.g., -SiRa, in which each R is independently alkyl); and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. The alkyl group may be CnEEn+i, where n is 1, 2, 3, or greater. Exemplary organometallic agents include SnMe4, SnEt4, TeRn, RTeR, /-butyl tellurium hydride (Te(/-Bu)(H)), dimethyl tellurium (TeMe2), di (/-butyl) tellurium (Te(/-Bu)2), di(isopropyl)tellurium (Te( -Pr)2), bis(trimethylsilyl)tellurium (Te(SiMe3)2), bis(triethylsilyl) tellurium (Te(SiEt3)2), tris(bis(trimethylsilyl)amido) bismuth (Bi[N(SiMe3)2]3), Sb(NMe2)3, and the like.

[0087] Another non-limiting precursor can include a capping agent having the following formula (V):

MLn (V), in which M is a metal; each L is independently an optionally substituted alkyl, amino (e.g., -NR 1 R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein), alkoxy (e.g., -OR, in which R is alkyl, such as any described herein), halo, or other organic substituent; and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. Exemplary ligands include dialkylamino (e.g., dimethylamino, methylethylamino, and diethylamino), alkoxy (e.g., /-butoxy and isopropoxy), halo (e.g., F, Cl, Br, and I), or other organic substituents (e.g., acetylacetone or 7V 2 ,7V 3 -di-tertbutyl-butane-2,3-diamino). Non-limiting capping agents include SnCh; SnLi; Sn(NR2)4, wherein each of R is independently methyl or ethyl; or Sn(/-BuO)4. In some embodiments, multiple types of ligands are present.

[0088] A precursor can include a hydrocarbyl-substituted capping agent having the following formula (VI):

RnMXm (VI), wherein M is a metal, R is a C2-10 alkyl or substituted alkyl having a beta-hydrogen, and X is a suitable leaving group upon reaction with a hydroxyl group of the exposed hydroxyl groups. In various embodiments, n = 1 to 3, and m = 4 - n, 3 - n, or 2 - n, so long as m > 0 (or m > 1). For example, R may be /-butyl, /-pentyl, /-hexyl, cyclohexyl, isopropyl, isobutyl, ec-butyl, //-butyl, //-pentyl, //-hexyl, or derivatives thereof having a heteroatom substituent in the beta position. Suitable heteroatoms include halogen (F, Cl, Br, or I), or oxygen (-OH or -OR). X may be dialkylamino (e.g., dimethylamino, methylethylamino, or diethylamino), alkoxy (e.g., /-butoxy, isopropoxy), halo (e.g., F, Cl, Br, or I), or another organic ligand. Examples of hydrocarbyl- substituted capping agents include /-butyltris(dimethylamino)tin (Sn(/-Bu)(NMe2)3), //- butyltris(dimethylamino)tin (Sn(//-Bu)(NMe2)3), /-butyltris(diethylamino)tin (Sn(/-Bu)(NEt2)3), di(/-butyl)di(dimethylamino)tin (Sn(/-Bu)2(NMe2)2), sec-butyltris(dimethylamino)tin (Sn(s- Bu)(NMe2)3), z/-pentyltris(dimethylamino)tin (Sn(n-pentyl)(NMe2)3), z-butyltris(dimethylamino) tin (Sn(z-Bu)(NMe2)3), z-propyltris(dimethylamino)tin (Sn(i-Pr)(NMe2)3), /-butyltris(/-butoxy)tin (Sn(/-Bu)(/-BuO)3), zz-butyl(tris(/-butoxy)tin (Sn(zz-Bu)(/-BuO)3), or isopropyltri s(/-butoxy)tin (Sn(z-Pr)(t-BuO)3).

[0089] In various embodiments, a precursor includes at least one alkyl group on each metal atom that can survive the vapor-phase reaction, while other ligands or ions coordinated to the metal atom can be replaced by the counter-reactants. Accordingly, another non-limiting precursor includes an organometallic agent having the formula (VII):

MaRbLc (VII), in which M is a metal; R is an optionally substituted alkyl; L is a ligand, ion, or other moiety which is reactive with the counter-reactant; a > 1; b > 1; and c > 1. In particular embodiments, a = 1, and b + c = 4. In some embodiments, M is Sn, Te, Bi, or Sb. In particular embodiments, each L is independently amino (e.g., -NR’R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein), alkoxy (e.g., -OR, in which R is alkyl, such as any described herein), or halo (e.g., F, Cl, Br, or I). Exemplary agents include SnMesCl, SnMe2Ch, SnMeCh, SnMe(NMe2)3, SnMe2(NMe2)2, SnMe3(NMe2), and the like.

[0090] In other embodiments, the non-limiting precursor includes an organometallic agent having the formula (VIII):

MaLc (VIII), in which M is a metal; L is a ligand, ion, or other moiety which is reactive with the counterreactant; a > 1; and c > 1. In particular embodiments, c = n - 1, and n is 2, 3, or 4. In some embodiments, M is Sn, Te, Bi, or Sb. Counter-reactants preferably have the ability to replace the reactive moi eties ligands or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding.

[0091] In any embodiment herein, R can be an optionally substituted alkyl (e.g., Ci-io alkyl). In one embodiment, alkyl is substituted with one or more halo (e.g., halo- substituted Ci-io alkyl, including one, two, three, four, or more halo, such as F, Cl, Br, or I). Exemplary R substituents include CnEEn+i, preferably wherein n > 3; and CnF x H(2n+i-x), wherein 2n+l > x > 1. In various embodiments, R has at least one beta-hydrogen, beta-halogen, or beta-fluorine. For example, R may be selected from the group consisting of z-propyl, zz-propyl, /-butyl, z-butyl, zz-butyl, .sec-butyl, zz-pentyl, z-pentyl, /-pentyl, ec-pentyl, and mixtures thereof.

[0092] In any embodiment herein, L may be any moiety readily displaced by a counter-reactant to generate an M-OH moiety, such as a moiety selected from the group consisting of an amino (e.g., -NR’R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein), alkoxy (e.g., -OR, in which R is alkyl, such as any described herein), carboxylates, halo (e.g., F, Cl, Br, or I), and mixtures thereof.

[0093] Counter-reactants preferably have the ability to replace the reactive moieties, ligands, or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding. Exemplary counter-reactants include oxygen-containing counter-reactants, such as oxygen (O2), ozone (O3), water, peroxides (e.g., hydrogen peroxide), oxygen plasma, water plasma, alcohols, dihydroxy alcohols, polyhydroxy alcohols, fluorinated dihydroxy alcohol, fluorinated polyhydroxy alcohols, fluorinated glycols, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof. In various embodiments, a counter-reactant reacts with the precursor by forming oxygen bridges between neighboring metal atoms. Other potential counter-reactants include hydrogen sulfide and hydrogen disulfide, which can crosslink metal atoms via sulfur bridges and bis(trimethylsilyl)tellurium, which can crosslink metal atoms via tellurium bridges. In addition, hydrogen iodide may be utilized to incorporate iodine into the film.

[0094] Yet other non-limiting counter-reactants include a chalcogenide precursor having the formula ZR2, wherein: Z is sulfur, selenium, or tellurium; and each R is, independently, H, optionally substituted alkyl (e.g., methyl, ethyl, zz-propyl, isopropyl, zz-butyl, /-butyl, etc.), optionally substituted alkenyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or optionally substituted trialkylsilyl.

[0095] Exemplary organometallic agents include SnMeCh, (Y 2 ,Y 3 -di-/-butyl-butane-2,3- diamido) tin(II) (Sn(tbba)), bis(bis(trimethylsilyl)amido) tin(II), tetrakis(dimethylamino) tin(IV) (Sn(NMe2)4), /-butyl tris(dimethylamino) tin (Sn(/-butyl)(NMe2)3), /-butyl tris(dimethylamino) tin (Sn(z-Bu)(NMe2)3), zz-butyl tris(dimethylamino) tin (Sn(zz-Bu)(NMe2)3), ec-butyl tris(dimethylamino) tin (Sn(s-Bu)(NMe2)3), z-propyl(tris)dimethylamino tin (Sn(z-Pr)(NMe2)3), n- propyl tris(diethylamino) tin (Sn(z/-Pr)(NEt2 3 , and analogous alkyl(tris)(/-butoxy) tin compounds, such as /-butyl tris(/-butoxy) tin (Sn(/-Bu)(/-BuO)3). In some embodiments, the organometallic agents are partially fluorinated.

[0096] In some embodiments, patterning structure can include a surface layer or film comprising exposed hydroxyl groups or hydroxyl-terminated SnOx. Without limiting the mechanism, function or utility of present technology, it is believed that the hydroxyl-terminated SnOx layer may offer benefits such as improved adhesion of materials deposited on the surface of the substrate and enhanced absorption of EUV (or other radiation) during patterning. Sensitivity to EUV or other irradiation and resolution may be dependent on the properties of the SnOx layer, such as thickness, density and short-range charge transfer characteristics. In various embodiments, the SnOx layer has a thickness of from 0.1 nm to 20 nm, or from 0.2 nm to 10 nm, or from 0.5 nm to 5 nm.

[0097] In some embodiments, the hydroxyl-terminated SnOx layer is deposited on a surface of the substrate by vapor deposition. In such methods, the deposition comprises reacting Sn-Xn with an oxygen-containing counter-reactant, wherein X is a ligand such as dialkylamino, (e.g., dimethylamino, methylethylamino, and diethylamino), alcohol (e.g., /-butoxy, and isopropoxy), halogen (e.g., F, Cl, Br, and I), or other organic substituent (e.g., acetyl acetone, N2,N3-di- tertbutyl-butane-2,3-diamino). For example, Sn-Xn may be SnCh, Snl4, or Sn(NR.2)4 wherein R is methyl or ethyl, or Sn(LBuO)4. In some embodiments, multiple types of ligands are present. The oxygen-containing counter-reactant may be selected from the group consisting of water, hydrogen peroxide, formic acid, alcohols, oxygen, ozone, and combinations thereof.

[0098] Suitable vapor deposition processes include chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma-enhanced chemical vapor deposition (PECVD), or plasma- enhanced atomic layer deposition (PEALD). In some embodiments, the deposition is ALD, in a cyclical process of depositing the Sn-Xn and depositing the oxygen-containing counter-reactant. In some embodiments, the deposition is CVD, by simultaneously flowing the Sn-Xn and the oxygen-containing counter-reactant. Materials and processes among those useful herein for depositing SnOx layers are described in Nazarov et al., Atomic Layer Deposition of Tin Dioxide Nanofilms: A Review, 40 Rev. Adv. Mater. Sci. 262 (2015). A SnOx substrate may be deposited by a CVD or ALD process, as described herein.

[0099] A surface activation operation may be used to activate the surface for future operations. For example, for a SiOx surface, a water or oxygen/hydrogen plasma may be used to create hydroxyl groups on the surface. For a carbon- or hydrocarbon-based surface, a water, hydrogen/oxygen or CO2 plasma or ozone treatment may be used to create carboxylic acids/or hydroxyl groups. Such approaches can improve adhesion of resist features to the substrate, which might otherwise delaminate or lift off in the solvent used for development.

[0100] Adhesion may also be enhanced by inducing roughness in the substrate surface to increase the surface area available for interaction, as well as directly improve mechanical adhesion. For example, first a sputtering process using Ar or other non-reactive ion bombardment can be used to produce rough surfaces. Then, the surface can be terminated with a desired surface functionality as described above (e.g., hydroxyl and/or carboxylic acid groups). On carbon, a combination approach can be employed wherein a chemically reactive oxygen-containing plasma such as CO2, O2, H2O (or mixtures of H2 and O2) can be used to etch away a thin layer of film with local non-uniformity and simultaneously terminate with -OH, -OOH, or -COOH groups. This may be done with or without bias. In conjunction with the surface modification strategies mentioned above, this approach could serve the dual purpose of surface roughening and chemical activation of the substrate surface, either for direct adhesion to an inorganic metal-oxide based resist or as an intermediate surface modification for further functionalization.

[0101] The patterning structure can include any useful substrate. For instance, an incoming wafer can be prepared with a substrate surface of a desired material, with the uppermost material being the layer into which the resist pattern is transferred. While the material selection may vary depending on integration, it is generally desired to select a material which can be etched with high selectivity to (i.e., much faster than) the EUV resist or imaging layer. In some embodiments, the substrate is a hardmask, which is used in lithographic etching of an underlying semiconductor material. The hardmask may comprise any of a variety of materials, including amorphous carbon (a-C), tin oxide (e.g., SnOx), silicon oxide (e.g., SiOx, including SiCh), silicon oxynitride (e.g., SiOxNy), silicon oxycarbide (e.g., SiOxCy), silicon nitride (e.g., SislSh), titanium oxide (e.g., TiCh), titanium nitride (e.g., TiN), tungsten (e.g., W), doped carbon (e.g., W-doped C), tungsten oxide (e.g., WOx), hafnium oxide (e.g., HfCh), zirconium oxide (e.g., ZrCh), and aluminum oxide (e.g., AI2O3). Suitable substrate materials can include various carbon-based films (e.g., ashable hardmask (AHM), silicon-based films (e.g., SiOx, SiCx, SiOxCy, SiOxNy, SiOxCyNz), a-Si:H, poly- Si, or SiN), or any other (generally sacrificial) film applied to facilitate the patterning process). For example, the substrate may preferably comprise SnOx, such as SnCh. In various embodiments, the layer may be from 1 nm to 100 nm thick, or from 2 nm to 10 nm thick.

[0102] In various embodiments, the surface (e.g., of the substrate and/or the film) comprises exposed hydroxyl groups on its surface. In general, the surface may be any surface that comprises, or has been treated to produce, an exposed hydroxyl surface. Such hydroxyl groups may be formed on the surface by surface treatment of a substrate using oxygen plasma, water plasma, or ozone. In other embodiments, the surface of the film can be treated to provide exposed hydroxyl groups, upon which a capping layer can be applied. In various embodiments, the hydroxyl-terminated metal oxide layer has a thickness of from 0.1 nm to 20 nm, or from 0.2 nm to 10 nm, or from 0.5 nm to 5 nm.

[0103] The implementations disclosed herein describe deposition of a material on a substrate such as a wafer, substrate, or other work piece. The work piece may be of various shapes, sizes, and materials. In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry can have a diameter of 200 mm, or 300 mm, or 450 mm. Unless otherwise stated, the processing details recited herein (e.g., flow rates, power levels, etc.) are relevant for processing 300 mm diameter substrates, or for treating chambers that are configured to process 300 mm diameter substrates, and can be scaled as appropriate for substrates or chambers of other sizes. In addition to semiconductor wafers, other work pieces that may be used implementations disclosed herein include various articles such as printed circuit boards and the like. The processes and apparatuses can be used in the fabrication of semiconductor devices, displays, and the like.

[0104] As discussed above, the present disclosure provides methods for films on semiconductor substrates, which may be patterned using EUV or other next generation lithographic techniques. Methods include those where polymerized organometallic materials are produced in a vapor and deposited on a substrate. In some embodiments, dry deposition can employ any useful precursor (e.g., metal halides, capping agents, or organometallic agents described herein). In other embodiments, a spin-on formulation may be used. Deposition processes can include applying a EUV-sensitive material as a resist film or an EUV-sensitive film.

[0105] Such EUV-sensitive films comprise materials which, upon exposure to EUV, undergo changes, such as the loss of bulky pendant ligands bonded to metal atoms. If the unexposed region includes a dense M-O-M rich material, then EUV induced cleavage can provide intermediates that are more readily removed by positive tone developers.

[0106] Through EUV patterning, areas of the film are created that have altered physical or chemical properties relative to unexposed regions. These properties may be exploited in subsequent processing, such as to dissolve either unexposed or exposed regions or to selectively deposit materials on either the exposed or unexposed regions. In some embodiments, the unexposed film has a hydrophobic surface, and the exposed film has a hydrophilic surface (it being recognized that the hydrophilic properties of exposed and unexposed regions are relative to one another) under the conditions at which such subsequent processing is performed. For example, the removal of material may be performed by leveraging differences in chemical composition, density, and cross-linking of the film. Removal may be by wet processing or dry processing, as further described herein.

[0107] The thickness of the EUV-patternable film formed on the surface of the substrate may vary according to the surface characteristics, materials used, and processing conditions. In various embodiments, the film thickness may range from about 0.5 nm to about 100 nm. Preferably, the film has a sufficient thickness to absorb most of the EUV light under the conditions of EUV patterning. For example, the overall absorption of the resist film may be 30% or less (e.g., 10% or less, or 5% or less), so that the resist material at the bottom of the resist film is sufficiently exposed. In some embodiments, the film thickness is from 10 nm to 20 nm. Without limiting the mechanism, function, or utility of the present disclosure, it is believed that, the processes of the present disclosure can be applied to a wide variety of substrates. Moreover, as discussed above, the deposited films may closely conform to surface features, providing advantages in forming masks over substrates, such as substrates having underlying features, without “filling in” or otherwise planarizing such features.

[0108] The film may be composed of a metal oxide layer deposited in any useful manner. Such a metal oxide layer can be deposited or applied by using any EUV-sensitive material described herein, such as a precursor (e.g., metal-containing precursor, a metal halide, a capping agent, or an organometallic agent) in combination with a counter-reactant. In exemplary processes, a polymerized organometallic material is formed in vapor phase or in-situ on the surface of the substrate in order to provide the metal oxide layer. The metal oxide layer may be employed as a film, an adhesion layer, or a capping layer.

[0109] Optionally, the metal oxide layer can include a hydroxyl-terminated metal oxide layer, which can be deposited by employing a capping agent (e.g., any described herein) with an oxygencontaining counter-reactant. Such a hydroxyl-terminated metal oxide layer can be employed, e.g., as an adhesion layer between two other layers, such as between the substrate and the film and/or between the photoresist layer and the capping layer.

[0110] Exemplary deposition techniques (e.g., for a film) include any described herein, such as ALD (e.g., thermal ALD and plasma-enhanced ALD), spin-coat deposition, PVD including PVD co-sputtering, CVD (e.g., PE-CVD or LP-CVD), sputter deposition, e-beam deposition including e-beam co-evaporation, etc., or a combination thereof, such as ALD with a CVD component, such as a discontinuous, ALD-like process in which precursors and counter-reactants are separated in either time or space.

[OHl] Further description of precursors and methods for their deposition as EUV photoresist films applicable to this disclosure may be found in International Application No. PCT/US 19/31618, published as International Publication No. WO 2019/217749, filed May 9, 2019, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS. The thin films may include optional materials in addition to a precursor and a counter-reactant to modify the chemical or physical properties of the film, such as to modify the sensitivity of the film to EUV or enhancing etch resistance. Such optional materials may be introduced, such as by doping during vapor phase formation prior to deposition on the substrate, during deposition on the substrate, and/or after deposition of the film. In some embodiments, a gentle remote H2 plasma may be introduced so as to replace some Sn-L bonds with Sn-H, for example, which can increase reactivity of the resist under EUV. In other embodiments, CO2 may be introduced to replace some Sn-0 bonds with Sn-CCh bonds, which can be more resistant to wet development.

[0112] In general, methods can include mixing a vapor stream of a precursor (e.g., a metalcontaining precursor, such as an organometallic agent) with an optional vapor stream of a counterreactant so as to form a polymerized organometallic material, and depositing the organometallic material onto the surface of the semiconductor substrate. In some embodiments, mixing the precursor and optional counter-reactant can form a polymerized organometallic material. As will be understood by one of ordinary skill in the art, the mixing and depositing aspects of the process may be concurrent, in a substantially continuous process.

[0113] In an exemplary continuous CVD process, two or more gas streams, in separate inlet paths, of sources of precursor and optional counter-reactant are introduced to the deposition chamber of a CVD apparatus, where they mix and react in the gas phase, to form agglomerated polymeric materials (e.g., via metal-oxygen-metal bond formation) or a film on the substrate. Gas streams may be introduced, for example, using separate injection inlets or a dual-plenum showerhead. The apparatus is configured so that the streams of precursor and optional counterreactant are mixed in the chamber, allowing the precursor and optional counter-reactant to react to form a polymerized organometallic material or a film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation).

[0114] For depositing metal oxide, the CVD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted at pressures from 1 Torr to 2 Torr. The temperature of the substrate is preferably below the temperature of the reactant streams. For example, the substrate temperature may be from 0°C to 250°C, or from ambient temperature (e.g., 23°C) to 150°C.

[0115] For depositing agglomerated polymeric materials, the CVD process is generally conducted at reduced pressures, such as from 10 mTorr to 10 Torr. In some embodiments, the process is conducted at from 0.5 to 2 Torr. The temperature of the substrate is preferably at or below the temperature of the reactant streams. For example, the substrate temperature may be from 0°C to 250°C, or from ambient temperature (e.g., 23°C) to 150°C. In various processes, deposition of the polymerized organometallic material on the substrate occurs at rates inversely proportional to surface temperature. Without limiting the mechanism, function or utility of present disclosure, it is believed that the product from such vapor-phase reaction becomes heavier in molecular weight as metal atoms are crosslinked by counter-reactants, and is then condensed or otherwise deposited onto the substrate. In various embodiments, the steric hindrance of the bulky alkyl groups further prevents the formation of densely packed network and produces low density films having increased porosity.

[0116] A potential advantage of using dry deposition methods is ease of tuning the composition of the film as it grows. In a CVD process, this may be accomplished by changing the relative flows of a first precursor and a second precursor during deposition. Deposition may occur between 30°C and 200°C at pressures between 0.01 Torr to 100 Torr, but more generally between about 0.1 Torr and 10 Torr.

[0117] A film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation) may also be deposited by an ALD process. For example, the precursor(s) and optional counter-reactant are introduced at separate times, representing an ALD cycle. The precursors react on the surface, forming up to a monolayer of material at a time for each cycle. This may allow for excellent control over the uniformity of film thickness across the surface. The ALD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted from 1 Torr to 2 Torr. The substrate temperature may be from 0°C to 250°C, or from ambient temperature (e.g., 23°C) to 150°C. The process may be a thermal process or, preferably, a plasma-assisted deposition.

[0118] Any of the deposition methods herein can be modified to allow for use of two or more different precursors. In one embodiment, the precursors can include the same metal but different ligands. In another embodiment, the precursors can include different metal groups. In one nonlimiting instance, alternating flows of various volatile precursors can provide a mixed metalcontaining layer, such as use of a metal alkoxide precursor having a first metal (e.g., Sn) with a silyl-based precursor having a different second metal (e.g., Te).

[0119] Processes herein can be used to achieve a surface modification. In some iterations, a vapor of the precursor may be passed over the wafer. The wafer may be heated to provide thermal energy for the reaction to proceed. In some iterations, the heating can be between about 50°C to about 250°C. In some cases, pulses of the precursor may be used, separated by pump and/or purging steps. For instance, a first precursor may be pulsed between pulses of a second precursor pulses resulting in ALD or ALD-like growth. In other cases, both precursors may be flowed at the same time. Examples of elements useful for surface modification include I, F, Sn, Bi, Sb, Te, and oxides or alloys of these compounds.

[0120] The processes herein can be used to deposit a thin metal oxide or metal by ALD or CVD. Examples include tin oxide (SnOx), bismuth oxide (BiOx), and Te. Following deposition, the film may be capped with an alkyl substituted precursor of the form M a RbL c , as described elsewhere herein. A counter-reactant may be used to better remove the ligands, and multiple cycles may be repeated to ensure complete saturation of the substrate surface. The surface can then be ready for the EUV-sensitive film to be deposited. One possible method is to produce a thin film of SnOx. Possible chemistries include growth of SnO2 by cycling tetrakis(dimethylamino)tin and a counterreactant such as water or O2 plasma. After the growth, a capping agent could be used. For example, isopropyltris(dimethylamino)tin vapor may be flown over the surface.

[0121] Deposition processes can be employed on any useful surface. As referred to herein, the “surface” is a surface onto which a film of the present technology is to be deposited or that is to be exposed to EUV during processing. Such a surface can be present on a substrate (e.g., upon which a film is to be deposited) or on a film (e.g., upon which a capping layer can be deposited).

[0122] Deposition processes can be employed on any useful surface. As referred to herein, the “surface” is a surface onto which a film of the present technology is to be deposited or that is to be exposed to EUV during processing. Such a surface can be present on a substrate (e.g., upon which a film is to be deposited) or on a film (e.g., upon which a capping layer can be deposited).

[0123] Such underlying topographical features may include regions in which material has been removed (e.g., by etching) or regions in which materials have been added (e.g., by deposition) during processing prior to conducting a method of this technology. Such prior processing may include methods of this technology or other processing methods in an iterative process by which two or more layers of features are formed on the substrate. Without limiting the mechanism, function, or utility of the present disclosure, it is believed that, in some embodiments, methods of the present disclosure offer advantages, such as conformance of the films of the present disclosure to underlying features without “filling in” or otherwise planarizing such features and the ability to deposit films on a wide variety of material surfaces.

Exposure of metal-containing resist material

[0124] The photoresist film may be exposed to radiation. The photoresist film is exposed to radiation according to a desired pattern to form exposed and unexposed regions of the photoresist film. Exposure causes a change in the chemical composition and cross-linking in the photoresist film, creating a contrast in etch selectivity that can be exploited for subsequent development.

[0125] EUV exposure of the film can provide EUV exposed regions having activated reactive centers including a metal atom (M), which are produced by EUV-mediated cleavage events. Such reactive centers can include dangling metal bonds, M-H groups, cleaved M-ligand groups, dimerized M-M bonds, or M-O-M bridges.

[0126] EUV exposure can have a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient, such as a wavelength of from 10 nm to 15 nm, e.g., 13.5 nm. In particular, patterning can provide EUV exposed regions and EUV unexposed regions to form a pattern. In some embodiments, such patterning includes a radiation dose of about 1-50 mJ/cm 2 , 1-40 mJ/cm 2 , 1-30 mJ/cm 2 , 1-20 mJ/cm 2 , or 1-10 mJ/cm 2 .

[0127] The present disclosure can include patterning using EUV, as well as DUV or e-beam. In such patterning, the radiation is focused on one or more regions of the imaging layer. The exposure can be performed such that imaging layer film comprises one or more regions that are not exposed to the radiation. The resulting imaging layer may comprise a plurality of exposed and unexposed regions, creating a pattern consistent with the creation of transistor or other features of a semiconductor device, formed by addition or removal of material from the substrate in subsequent processing of the substrate. EUV, DUV and e-beam radiation methods and equipment among useful herein include methods and equipment known in the art.

[0128] In some EUV lithography techniques, an organic hard mask (e.g., an ashable hard mask of PECVD amorphous hydrogenated carbon) is patterned using a photoresist process. During photoresist exposure, EUV radiation is absorbed in the resist and in the substrate below, producing highly energetic photoelectrons (e.g., about 100 eV) and in turn a cascade of low-energy secondary electrons (e.g., about 10 eV) that diffuse laterally by several nanometers. These electrons increase the extent of chemical reactions in the resist which increases its EUV dose sensitivity. However, a secondary electron pattern that is random in nature is superimposed on the optical image. This unwanted secondary electron exposure results in loss of resolution, observable line edge roughness (LER) and linewidth variation in the patterned resist. These defects are replicated in the material to be patterned during subsequent pattern transfer etching.

[0129] A vacuum-integrated metal hard mask process and related vacuum-integrated hardware that combines film formation (deposition/condensation) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance - e.g., reduced line edge roughness - is disclosed herein. [0130] In various embodiments described herein, a deposition (e.g., condensation) process (e.g., ALD or MOCVD carried out in a PECVD tool, such as the Lam Vector®) can be used to form a thin film of a metal-containing film, such a photosensitive metal salt or metal-containing organic compound (organometallic compound), with a strong absorption in the EUV (e.g., at wavelengths on the order of 10 nm to 20 nm), for example at the wavelength of the EUVL light source (e.g., 13.5 nm = 91.8 eV). This film photo-decomposes upon EUV exposure and forms a metal mask that is the pattern transfer layer during subsequent etching (e.g., in a conductor etch tool, such as the Lam 2300® Kiyo®).

[0131] Following deposition, the EUV-patternable thin film is patterned by exposure to a beam of EUV light, in some instances under relatively high vacuum. For EUV exposure, the metalcontaining film can then be deposited in a chamber integrated with a lithography platform (e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL) and transferred under vacuum so as not to react before exposure. Integration with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as FEO, O2, etc. In other embodiments, the photosensitive metal film deposition and EUV exposure may be conducted in the same chamber.

Development Processes

[0132] The photoresist film is developed to selectively remove exposed regions relative to unexposed regions (i.e., positive tone development) or selectively remove unexposed regions relative to exposed regions (i.e., negative tone development). Development of the photoresist film forms a patterned photoresist mask. Development may proceed using wet or dry chemistry.

[0133] EUV exposed or unexposed regions can be removed by any useful development process. In one embodiment, the EUV exposed region can have activated reactive centers, such as dangling metal bonds, M-H groups, or dimerized M-M bonds. In other embodiments, EUV exposed regions are removed by use of wet development.

[0134] In particular embodiments, a wet development process is used to remove EUV exposed regions to provide a positive tone photoresist. Exemplary, non-limiting wet development can include use of a developer (e.g., an aqueous acidic developer, a non-aqueous acidic developer, or an acid developer in an organic solvent) that includes a halide (e.g., HF, HC1, or HBr), an organic acid (e.g., formic acid, acetic acid, oxalic acid, or citric acid), or an organohalide compound (e.g., such as an organofluorine compound, including trifluoroacetic acid; an organochlorine compound; an organobromine compound, or an organoiodine compound); or use of an organic developer, such as a ketone (e.g., 2-heptanone, cyclohexanone, or acetone), an ester (e.g., y-butyrolactone or ethyl 3 -ethoxypropionate (EEP)), an alcohol (e.g., isopropyl alcohol (IP A)), or an ether, such as a glycol ether (e.g., propylene glycol methyl ether (PGME) or propylene glycol methyl ether acetate (PGMEA)), as well as combinations thereof. Other acids (e.g., aqueous acids) are described herein.

[0135] Other development methodologies can include use of an aqueous developer, a nonaqueous developer, an alkaline developer (e.g., an aqueous alkaline developer or a non-aqueous alkaline developer), such as those including ammonium, e.g., ammonium hydroxide ([NH4] + [0H]-); ammonium-based ionic liquids, e.g., tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), or other quaternary alkylammonium hydroxides; an organoamine, such as mono-, di-, and tri-organoamines (e.g., dimethylamine, diethylamine, ethylenediamine, triethylenetetramine); or an alkanolamine, such as monoethanolamine, diethanolamine, triethanolamine, or diethyleneglycolamine. In other embodiments, the alkaline developer can include nitrogen-containing bases, e.g., compounds having the formula R N1 NH2, R N1 R N2 NH, R N1 R N2 R N3 N, or R N1 R N2 R N3 R N4 N + X N1 ’, where each of R N1 , R N2 , R N3 , and R N4 is, independently, an organo substituent (e.g., optionally substituted alkyl, optionally substituted hydroxyalkyl, or any described herein), or two or more organo substituents that can be joined together, and X N1 “ may comprise OET, F“, Cl-, Br“, I-, or other art-known quaternary ammonium cationic species. These bases may also comprise heterocyclyl nitrogen compounds known in the art, some of which are described herein.

[0136] Yet other development methodologies can include use of a deprotecting solvent. Nonlimiting deprotecting solvents include an organic acid (e.g., any herein, such as oxalic acid) or include choline ([N(CH3)3CH2CH2OH] + ), such as choline hydroxide ([N(CH3)3CH2CH2OH] + [OH]’).

[0137] The developer can be used in any useful concentration. In one embodiment, the developer solution includes about 0.5 wt.% to about 30 wt.% of the developer(s) in a solvent (e.g., an aqueous solvent, a non-aqueous solvent, an organic solvent, or a combination thereof), including concentrations from about 1 wt. % to about 20 wt. % and 1.1 wt. % to 10 wt. %.

[0138] The developer can be used with one or more additives, such as oxidizers, surfactants, salts, and chelating agents. Additives can be optionally present in an amount of less than 10 wt. % or less than 5 wt. % in a developing solution. Non-limiting oxidizers include a peroxide or a peracid, such as hydrogen peroxide, benzoyl peroxide, urea peroxide, or mixtures thereof. Non- limiting surfactants include anionic, cationic, and non-ionic surfactants, such as an alkylphenol ethoxylate (e.g., Triton™ X-100 (polyethylene glycol tert-octyl phenyl ether), an octyl phenol ethoxylate, or a nonyl phenol ethoxylate), an alcohol ethoxylate (e.g., BRIJ® 56 (CI6H 3 3(OCH 2 CH2)IOOH), BRU® 58 (Ci6H33(OCH 2 CH 2 ) 20 OH), or a fatty alcohol ethoxylate), a fatty acid ethoxylate, a poloxamer, a fatty acid ester of glycerol, an acetylenic diol, an amine ethoxylate, a glucoside, a glucamide, a polyethylene glycol, or poly(ethylene glycol-co-propylene glycol), an ammonium perfluoroalkyl (e.g., ammonium perfluoroalkyl sulfonate or carboxylate), and combinations thereof.

[0139] Non-limiting salts include cation(s) selected from the group of ammonium, d-block metal cations (hafnium, zirconium, lanthanum, or the like), f-block metal cations (cerium, lutetium or the like), p-block metal cations (aluminum, tin, or the like), alkali metals (lithium, sodium, potassium or the like), and combinations thereof; and anion(s) selected from the group of fluoride, chloride, bromide, iodide, nitrate, sulfate, phosphate, silicate, borate, peroxide, butoxide, formate, oxalate, ethylenediamine-tetraacetic acid (EDTA), tungstate, molybdate, or the like and combinations thereof. Non-limiting chelating agents can include polyamines, alcohol amines, amino acids, carboxylic acids, or combinations thereof.

[0140] In particular embodiments, the positive tone developer is an acidic developer in an aqueous solvent; an acidic developer in an organic solvent; an aqueous alkaline developer (e.g., including NH4OH, TMAH, TEAH, TP AH, or TBAH, which can be with or without H 2 O 2 ); an aqueous acidic developer (e.g., including HC1 or HF); an organic developer; or a deprotecting solvent (e.g., including oxalic acid, choline, or choline hydroxide). A developer can include one solvent or a solvent combination.

[0141] As described herein, dry development processes can be used to process a film (e.g., in which dry development can be used alone, before wet development, or after wet development). Non-limiting processes can include use of halides, such as HC1- or HBr-based processes. While this disclosure is not limited to any particular theory or mechanism of operation, the approach is understood to leverage the chemical reactivity of the dry-deposited EUV photoresist films with the clean chemistry (e.g., HC1, HBr, and BCh) to form volatile products using vapors or plasma. Such volatile products can be removed in any manner (e.g., by treating with an aqueous acid, as described herein). The dry-deposited EUV photoresist films can be removed with etch rates of up to 1 nm/s. The quick removal of dry-deposited EUV photoresist films by these chemistries is applicable to chamber cleaning, backside clean, bevel clean, and PR developing. Although the films can be removed using vapors at various temperatures (e.g., HC1 or HBr at a temperature greater than -10°C, or BCh at a temperature greater than 80°C, for example), a plasma can also be used to further accelerate or enhance the reactivity.

[0142] Plasma processes include transformer coupled plasma (TCP), inductively coupled plasma (ICP) or capacitively coupled plasma (CCP), employing equipment and techniques among those known in the art. For example, a process may be conducted at a pressure of > 0.5 mTorr (e.g., such as from 1 mTorr to 100 mTorr), at a power level of < 1000 W (e.g., < 500 W). Temperatures may be from 30°C to 300°C (e.g., 30°C to 120°C), at flow rate of 100 to 1000 standard cubic centimeters per minute (seem), e.g., about 500 seem, for from 1 to 3000 seconds (e.g., 10 seconds to 600 seconds).

[0143] Where the halide reactant flows are of hydrogen gas and halide gas, a remote plasma/UV radiation is used to generate radicals from the H2 and Ch and/or Bn, and the hydrogen and halide radicals are flowed to the reaction chamber to contact the patterned EUV photoresist on the substrate layer of the wafer. Suitable plasma power may range from 100 W to 500 W, with no bias. It should be understood that while these conditions are suitable for some processing reactors, e.g., a Kiyo etch tool available from Lam Research Corporation, Fremont, CA, a wider range of process conditions may be used according to the capabilities of the processing reactor.

[0144] In thermal development processes, the substrate is exposed to dry development chemistry (e.g., a Lewis Acid) in a vacuum chamber (e.g., oven). Suitable chambers can include a vacuum line, a dry development hydrogen halide chemistry gas (e.g., HBr, HC1) line, and heaters for temperature control. In some embodiments, the chamber interior can be coated with corrosion resistant films, such as organic polymers or inorganic coatings. One such coating is polytetrafluoroethylene ((PTFE), e.g., Teflon™). Such materials can be used in thermal processes of this disclosure without risk of removal by plasma exposure.

[0145] The process conditions for the dry development may be reactant flow of 100 seem to 500 seem (e.g., 500 seem HBr or HC1), temperature of -10°C to 120°C (e.g., -10°C), pressure of 1 mTorr to 500 mTorr (e.g., 300 mTorr) with no plasma and for a time of about 10 sec to 1 min, dependent on the photoresist film and their composition and properties.

[0146] In various embodiments, methods of the present disclosure combine all dry steps of film deposition, formation by vapor deposition, and (EUV) lithographic photopatterning, but which is followed by wet development with an aqueous acid. In other embodiments, methods of the present disclosure combine all dry steps of film deposition, formation by vapor deposition, (EUV) lithographic photopatterning, and dry development, but which is followed by wet treatment with an aqueous acid. In such processes, a substrate may directly go to a dry development/etch chamber following photopatterning in an EUV scanner.

Other processes

[0147] The methods herein can include any other useful processes, as described below.

[0148] For the backside and bevel clean process, the vapor and/or the plasma can be limited to a specific region of the wafer to ensure that only the backside and the bevel are removed, without any film degradation on the frontside of the wafer. The dry-deposited EUV photoresist films being removed are generally composed of Sn, O and C, but the same clean approaches can be extended to films of other metal oxide resists and materials. In addition, this approach can also be used for film strip and photoresist rework.

[0149] Suitable process conditions for a dry bevel edge and backside clean may be a reactant flow of 100 seem to 500 seem (e.g., 500 seem HC1, HBr, or H2 and Ch or Bn, BCh or H2), temperature of-10°C to 120°C (e.g., 20°C), pressure of 20 mTorr to 500 mTorr (e.g., 300 mTorr), plasma power of 0 to 500 W at high frequency (e.g., 13.56 MHz), and for a time of about 10 sec to 20 sec, dependent on the photoresist film and composition and properties. It should be understood that while these conditions are suitable for some processing reactors, e.g., a Kiyo etch tool available from Lam Research Corporation, Fremont, CA, a wider range of process conditions may be used according to the capabilities of the processing reactor.

[0150] Photolithography processes can involve one or more bake steps, to facilitate the chemical reactions required to produce chemical contrast between exposed and unexposed regions of the photoresist. For high volume manufacturing (HVM), such bake steps can be performed on tracks where the wafers are baked on a hot-plate at a pre-set temperature under ambient air or in some cases N2 flow. More careful control of the bake ambient as well as introduction of additional reactive gas component in the ambient during these bake steps can help further reduce the dose requirement and/or improve pattern fidelity.

[0151] According to various aspects of this disclosure, one or more post-treatments to metal and/or metal oxide-based photoresists after deposition (e.g., post-application bake (PAB) or another post-application treatment) and/or exposure (e.g., post-exposure bake (PEB), which can be omitted; or another post-exposure treatment) and/or development (e.g., post-development bake (PDB) or another post-development treatment) are capable of increasing material property differences between exposed and unexposed photoresist and therefore decreasing dose-to-size (DtS), improving PR profile, and improving line edge and width roughness (LER/LWR) after subsequent dry development. Such processing can involve a thermal process with the control of temperature, gas ambient, and moisture, resulting in improved dry development performance in processing to follow. In some instances, a remote plasma might be used. Yet in certain instances, PAB and/or PEB and/or PDB are not performed.

[0152] In the case of post-application processing (e.g., PAB), a thermal process with control of temperature (e.g., with heating or cooling), gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NEE, N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used after deposition and before exposure to change the composition of unexposed metal and/or metal oxide photoresist. The change can increase the EUV sensitivity of the material and thus lower dose to size and edge roughness can be achieved after exposure and dry development.

[0153] In the case of post-exposure processing (e.g., PEB), a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used to change the composition of both unexposed and exposed photoresist. The change can increase the composition/material properties difference between the unexposed and exposed photoresist and the etch rate difference of dry development etch gas between the unexposed and exposed photoresist. A higher etch selectivity can thereby be achieved. Due to the improved selectivity, a squarer PR profile can be obtained with improved surface roughness, and/or less photoresist residual/scum. In particular embodiments, PEB can be performed in air and in the optional presence of moisture and CO2. In other embodiments, PEB can be omitted.

[0154] In the case of post-development processing (e.g., post-development bake or PDB), a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum (e.g., with UV), and moisture can be used to change the composition of the unexposed photoresist. In particular embodiments, the condition also includes use of plasma (e.g., including O2, O3, Ar, He, or their mixtures). The change can increase the hardness of material, which can be beneficial if the film will be used as a resist mask when etching the underlying substrate.

[0155] In these cases, in alternative implementations, the thermal process could be replaced by a remote plasma process to increase reactive species to lower the energy barrier for the reaction and increase productivity. Remote plasma can generate more reactive radicals and therefore lower the reaction temperature/time for the treatment, leading to increased productivity.

[0156] Accordingly, one or multiple processes may be applied to modify the photoresist itself to increase wet or dry development selectivity. This thermal or radical modification can increase the contrast between unexposed and exposed material and thus increase the selectivity of the subsequent development step. The resulting difference between the material properties of unexposed and exposed material can be tuned by adjusting process conditions including temperature, gas flow, moisture, pressure, and/or RF power.

[0157] For wet-developed or dry-developed resist films, the treatment temperature in a PAB, PEB, or PDB can be varied to tune and optimize the treatment process, for example from about 90°C to 250°C for PAB and about 170°C to 250°C or more for PEB and/or PDB. In particular embodiments, PEB is omitted.

[0158] In particular embodiments, the PAB, PEB, and/or PDB treatments may be conducted with gas ambient flow in the range of 100 seem to 10000 seem, moisture content in the amount of a few percent up to 100% (e.g., 20%-50%), at a pressure between atmospheric and vacuum, and for a duration of about 30 s to 15 min, for example about 1 to 2 min. In particular embodiments, PEB is omitted.

[0159] Depending on the selectivity requirements/constraints of the semiconductor processing operation, a thermal treatment such as described herein can be used to lower the EUV dose needed. Or, if higher selectivity is required and higher dose can be tolerated, much higher selectivity, up to 100 times exposed vs. unexposed, can be obtained.

[0160] Yet other steps can include in-situ metrology, in which physical and structural characteristics (e.g., critical dimension, film thickness, etc.) can be assessed during the photolithography process. Modules to implement in-situ metrology include, e.g., scatterometry, ellipsometry, downstream mass spectroscopy, and/or plasma enhanced downstream optical emission spectroscopy modules.

[0161] Returning to Figure 2, at block 220 of the process 200, a substrate is provided in a process chamber, where the substrate is a semiconductor substrate comprising a substrate layer and the post-developed photoresist mask over the substrate layer. The substrate layer may be underlying the post-developed photoresist mask and may include any suitable material to facilitate the patterning process. The substrate layer may be etched with high selectivity to the post-developed photoresist mask. In some implementations, the substrate layer may include spin-on carbon (SoC), spin-on glass (SOG), amorphous carbon (a-C), tin oxide (e.g., SnOx), silicon (e.g., a-Si), silicon oxide (e.g., SiOx), silicon oxynitride (e.g., SiOxNy), silicon oxycarbide (e.g., SiOxCy), silicon nitride (SisN4), silicon carbide (SiCx), titanium oxide (e.g., TiCh), titanium nitride (e.g., TiN), tungsten (e.g., W), doped carbon (e.g., W-doped C), tungsten oxide (e.g., WOx), hafnium oxide (HfCh), zirconium oxide (e.g., ZrCh), or aluminum oxide (AI2O3). [0162] The metal-containing photoresist may be dry or wet deposited on the substrate layer. The metal-containing photoresist may be provided as a positive tone or negative tone resist having EUV-exposed and EUV-unexposed regions after EUV exposure. After deposition, the metalcontaining photoresist may be photopattemed in an EUV lithography chamber (scanner). After exposure and an optional PEB treatment, the metal-containing photoresist may undergo development to selectively remove portions (e.g., EUV-unexposed portions) of the metalcontaining photoresist to form a patterned photoresist mask over the substrate layer. In some implementations, the metal-containing photoresist is a metal-containing EUV photoresist, where the metal-containing EUV photoresist is an organo-metal oxide or organo-metal containing film. For instance, the metal-containing EUV photoresist may include Sn, O, and C atoms.

[0163] The process chamber may provide an enclosed space for treating the substrate after development. Chamber walls in the process chamber may be fabricated from stainless steel, aluminum, plastic, or other suitable material. In some embodiments, the chamber walls are coated with corrosion-resistant films, such as polymers or inorganic coatings. The process chamber may include a substrate support (e.g., pedestal or electrostatic chuck) on which the substrate is supported. In some embodiments, the process chamber for post-development treatment may be a deposition chamber, a bevel edge and/or backside clean chamber, a PAB treatment chamber, a PEB treatment chamber, a development chamber, or an etch chamber. That way, the process chamber for post-development treatment may be the same chamber used in a previous operation for photoresist processing or the same chamber used in a subsequent operation for photoresist processing, thereby minimizing substrate transfers to reduce exposure to air breaks in between operations. The process chamber may include one or more heating elements for exposing the substrate to elevated temperatures. In some embodiments, the one or more heating elements may include one or more infrared (IR) lamps or one or more light-emitting-diodes (LEDs) located in a substrate support for controlling a temperature of the substrate. The process chamber may include one or more gas lines for delivering gas into the process chamber. For example, the one or more gas lines may include a showerhead for supplying reactive gases towards the substrate in the process chamber. In some implementations, the process chamber may be a plasma-generating chamber or may be coupled to a plasma-generating chamber separate from the process chamber. The plasma-generating chamber may be an inductively-coupled plasma (ICP) reactor, a transformer-coupled plasma (TCP) reactor, or a capacitively-coupled plasma (CCP) reactor. In some cases, the process chamber further includes one or more gas outlets for exhausting gases, which may or may not be coupled to a vacuum pump to maintain a desired pressure within the process chamber. [0164] At block 230 of the process 200, the post-developed metal-containing photoresist mask is treated using one or more of the following operations: (i) thermally annealing the post-developed metal-containing photoresist mask, (ii) exposing the post-developed metal-containing photoresist mask to plasma, (iii) exposing the post-developed metal-containing photoresist mask to one or more reactive gases, and (iv) selectively depositing a protective layer on the post-developed metalcontaining photoresist mask. Post-development treatment of the substrate may utilize one of the foregoing thermal anneal, plasma, chemical, or selective deposition treatment operations, or a combination of the foregoing treatments. The post-development treatment improves the performance of the metal -containing photoresist mask during pattern transfer etching. The foregoing thermal anneal, plasma, chemical, and selective deposition treatment techniques are discussed in detail below.

[0165] At block 240 of the process 200, the substrate layer is etched to form recessed features using the post-developed metal-containing photoresist mask. This process may be referred to as pattern transfer or pattern transfer etching. The etch may selectively remove portions of the substrate layer without removing the post-developed metal-containing photoresist mask. A wet or dry etchant may be employed to etch through the portions of the substrate layer exposed by postdeveloped metal-containing photoresist mask. The metal-containing photoresist mask may define a pattern by which features are to be etched. Features are etched through the substrate layer according to the pattern defined by the metal -containing photoresist mask. After postdevelopment treatment, the metal-containing photoresist mask may have an increased line CD and/or improved etch resistance during pattern transfer etching. The features to be etched can maintain or substantially maintain the line CD provided by the metal-containing photoresist mask. In some cases, the metal-containing photoresist mask may have reduced defectivity and/or roughness. As a result, defects and roughness do not get transferred to the features that are formed after pattern transfer etching.

Thermal Treatment

[0166] In some implementations, the substrate may be thermally treated by heating the substrate to an elevated temperature. Thermal treatment of the substrate may serve to reduce defects and reduce roughness from the metal-containing photoresist mask prior to pattern transfer etching. In particular, thermal treatment of the substrate may improve chemical contrast in the metalcontaining photoresist mask by removing scum.

[0167] After wet or dry development, residue or scum may remain on the substrate. The residue or scum may remain in areas of the photoresist mask that were removed by development. The residue or scum may include residual etch byproducts adsorbed on surfaces of the substrate. For example, the vapors of halogens used in certain development chemistries may react with moisture or oxygen to form residual etch byproducts that are difficult to remove. Wet processing techniques often employ moisture and/or oxygen, which more easily leads to scum and residue formation. In some cases, the residue may include high metal concentrations or particles or clusters of metal oxide (e.g., SnOx) that can contribute to a loss of chemical contrast during pattern transfer and contaminate downstream processing tools.

[0168] After wet or dry development, roughness may form on sidewalls of etched features in a developed pattern of the photoresist mask. Some of this may be attributed to stochastics or non- optimal Gaussian distribution of light resulting in partially or fully exposed material in areas where photoresist should remain unexposed or vice versa. Moreover, scumming on sidewalls of etched features of the photoresist mask may exacerbate roughness.

[0169] During thermal treatment, the substrate may be heated to an elevated temperature between about 50°C and about 500°C, between about 100°C and about 400°C, between about 100°C and about 300°C, or between about 100°C and about 250°C. The substrate may be heated to the elevated temperature using one or more temperature-controllable elements in the process chamber. The pressure may be maintained between about 0.1 Torr and about 760 Torr, for example between about 0.1 Torr and about 1 Torr in some cases. The substrate may be exposed to the elevated temperature for a duration between about 1 minute and about 10 minutes, for example between about 2 minutes and about 5 minutes in some cases. In some implementations, thermal treatment is performed with one or more inert gases. For instance, thermal treatment may be performed with a flow of nitrogen (N2), helium (He), neon (Ne), argon (Ar), or xenon (Xe). In some implementations, thermal treatment is performed in atmosphere.

[0170] Higher temperatures in post-development thermal treatment may lead to increased descumming, reduced defectivity, and reduced roughness. However, higher temperatures may simultaneously lead to reduced line CD. It has been observed that higher temperatures during thermal anneal result in photoresist lateral shrinkage and photoresist height shrinkage. The reduced line CD results in a higher dose-to-size. Post-development thermal treatment may suffer from a tradeoff between reduced defectivity and roughness with a higher dose-to-size. This limits the thermal treatment to a desired temperature range and desired treatment duration to optimize the benefits of reduced defectivity and roughness while minimizing increases in dose-to-size.

Plasma Treatment

[0171] In some implementations, the substrate may be exposed to plasma for post-development treatment. Plasma treatment may serve to densify the metal-containing photoresist mask and lower roughness prior to pattern transfer etching. In some cases, plasma treatment may further improve chemical contrast in the metal-containing photoresist mask by removing scum. Plasma treatment may employ plasma of inert gas species or plasma of reactive gas species. Plasma of reactive gas species may chemically react with the metal-containing photoresist mask or selectively deposit a protective film on the metal-containing photoresist mask.

[0172] Exposure to plasma may be facilitated by generating plasma in a remote plasma generator or generating plasma in the process chamber where the substrate is being treated. One or more gases may be flowed to a plasma-generating region, which may be a remote plasma generator or the process chamber, and plasma is ignited. A plasma-generating chamber may be an inductively- coupled plasma (ICP), transformed-coupled plasma (TCP), or capacitively-coupled plasma (CCP) reactor. Plasma energy is provided to activate one or more gases into ions, radicals, neutral species, and other plasma-activated species. Ions, radicals, neutral species, and other plasma- activated species may interact with the metal -containing photoresist mask to improve the performance of the metal-containing photoresist mask during pattern transfer etching.

[0173] The one or more gases may include oxygen-containing species such as oxygen (O2), carbon dioxide (CO2), and carbon monoxide (CO). Additionally or alternatively, the one or more gases may include halogen-containing species such as boron trichloride (BCh), silicon tetrachloride (SiCh), tin tetrachloride (SnCh), tungsten hexafluoride (WFe), and difluoromethane (CH2F2). Additionally or alternatively, the one or more gases may include inert gas species such as nitrogen (N2), helium (He), neon (Ne), argon (Ar), and xenon (Xe). Other gases may include hydrogen (H2), ammonia (NH3), hydrogen halides (HC1, HBr, HF, HI), and various hydrocarbons (CxH y ) such as methane (CH4). In some cases, the plasma may be an oxygen-based plasma, nitrogen-based plasma, inert gas plasma, and/or carbon-based plasma. In some implementations, the plasma is a remote plasma. In some other implementations, the plasma is an in-situ plasma.

[0174] Process conditions for plasma treatment may be tuned to achieve a desired result. Such process conditions include but are not limited to plasma power, plasma frequency, plasma exposure time, bias voltage, duty cycle, temperature (e.g., pedestal temperature), pressure (e.g., chamber pressure), and flow rate of the one or more gases. The plasma in operation may be generated at a plasma power less than about 6 kW, such as between about 50 W and about 4000 W, between about 50 W and about 1000 W, or between about 100 W and about 500 W. In some instances, the plasma may be provided at a low plasma power and high ion energy. A directionality of the plasma may be controlled by the bias voltage. In some implementations, the bias voltage may be applied that is between about 1 V and about 500 V, between about 10 V and about 400 V, or between about 30 V and about 300 V. Plasma treatment may be applied for a duration between about 0.5 seconds and about 120 seconds, between about 1 second and about 60 seconds, or between about 2 seconds and about 40 seconds. Plasma treatment may modulate duty cycles of the plasma in operation to achieve the desired result, where the RF power supply may deliver plasma at any suitable duty cycle, such as between about 1% and about 99%, or between about 10% and about 90%. In some embodiments, chamber pressure may be between about 0.1 Torr and about 760 Torr, or between about 0.1 Torr and about 1 Torr in some cases. In some embodiments, a substrate temperature may be between about 0°C and about 400°C, between about 50°C and about 300°C, or between about 100°C and about 250°C.

[0175] As discussed below, plasma treatment may be accompanied by reactive gas species. Plasma of reactive gas species may induce chemical reactions in the metal-containing photoresist mask to improve mask properties such as etch resistance. Plasma of reactive gas species may selectively deposit a protective film on the metal-containing photoresist mask to increase line CD and reduce dose-to-size.

Chemical Treatment

[0176] In some implementations, the metal-containing photoresist mask may be exposed to one or more reactive gas species. Reactive gas species may chemically react with the metal-containing photoresist mask. In fact, certain reactive gas species may be reactive with the metal-containing photoresist mask and unreactive with the substrate layer of the substrate. In some implementations, the reactive gas species may convert an entirety or a substantial entirety of the metal-containing photoresist mask from a first material to a second material. The change in chemistry of the metal-containing photoresist mask may alter one or more properties of the metalcontaining photoresist mask. In some implementations, the reactive gas species may convert only an outer portion of the metal-containing photoresist mask from a first material to a second material, which may be used as a protective film as described further below.

[0177] The reactive gas species may react with the metal-containing photoresist mask to increase line CD and reduce dose-to-size. The reactive gas species may react with the metalcontaining photoresist mask to reduce roughness (e.g., LWR/LER) or at least maintain the same roughness. The reactive gas species may densify the metal-containing photoresist mask. In some cases, the reactive gas species may react with the metal-containing photoresist mask to reduce defectivity (e.g., scumming). Additionally, the reactive gas species may reduce outgassing such as tin outgassing from the metal-containing photoresist mask. In some cases, the reactive gas species may react with the metal-containing photoresist mask to increase an etch resistance of the photoresist mask during subsequent etch operations. By way of an example, the reactive gas species may increase line CD and at least substantially maintain the increased line CD of the photoresist mask after pattern transfer etching.

[0178] The reactive gas species may be more reactive with the metal-containing photoresist relative to the underlying substrate layer(s). In particular implementations, chemical treatment using reactive gas species takes advantage of the chemistry of an EUV photoresist mask. The EUV photoresist mask may be composed of an organometallic oxide film such as an organotin oxide film having Sn, O, and C atoms. The organotin oxide film may be comprised of networks of Sn-Sn bonds, Sn-H bonds, Sn-C bonds, Sn-OH bonds, Sn-0 bonds, Sn-O-Sn bonds, and Sn-O- C bonds. The reactive gas species may react with one or more elements of the organotin oxide film by oxidation, reduction, insertion, abstraction, or other chemical reaction mechanism to induce a chemical change in the EUV photoresist mask. In some instances, the reactive gas species may include carbon monoxide (CO), where tin species may have a catalytic reaction with the carbon monoxide. Without being limited by any theory, compounds of SnOCx react with CO to form a new compound of SnOCx(CO) y . The chemical reaction induces a change in the EUV photoresist mask by expanding the line CD. In some implementations, the etch resistance of the new compound in the EUV photoresist mask is improved.

[0179] Reactive gas species other than CO may be employed to induce a chemical reaction in the EUV photoresist mask. Examples of useful reactive gas species may include but are not limited to air, water vapor (H2O), hydrogen peroxide (H2O2), carbon dioxide (CO2), oxygen (O2), ozone (O3), methane (CH4), methanol (CH3OH), ethanol (CH3CH2OH), nitrogen (N2), hydrogen (H2), ammonia (NH3), nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), acetyl acetone (C5H8O2), formic acid (CH2O2), acetic acid (CH3COOH), hydrogen cyanide (HCN), boron trichloride (BCI3), silicon tetrachloride (SiCh), chlorine (Ch), bromine (Bn), hydrogen chloride (HC1), hydrogen bromide (HBr), hydrogen iodide (HI), hydrogen fluoride (HF), fluoromethane (CH3F), difluoromethane (CH2F2), and combinations thereof. In some cases, the reactive gas species may include an oxygen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a nitrogen-containing gas, a halogen-containing gas, or combinations thereof. Other reactive gas species may include metal precursors such as tungsten hexafluoride (WFe), tin tetrachloride (SnCh), molybdenum hexafluoride (MoFe), molybdenum dichloride dioxide (MOO2CI2), and molybdenum chloride (M0CI5). Other reactive gas species may include metal organic precursors such as tin tetrakis(dimethylamide) (Sn(N(CH3)2)4), hafnium tetrakis(dimethylamide) (Hf(N(CH 3 ) 2 ) 4 ), dimethyl aluminum ((CH 3 )2A1), trimethyl aluminum ((CH 3 ) 3 A1), titanium isopropoxide (Ti(OCH(CH 3 )2)4), tungsten carbonyl (W(COx)), molybdenum carbonyl (Mo(CO)x), ruthenium carbonyl (Ru(CO)x), iron carbonyl (Fe(CO)x), and combinations thereof. Thus, in some cases, the reactive gas species may include a metal halide or organometallic precursor such as a metal carbonyl precursor. Conventional polymer-based photoresist materials may not be reactive with metal halides or certain organometallic precursors, but metal -containing or metal oxidecontaining photoresist materials of the present disclosure may be more prone to react with metal halides and organometallic precursors. Without being limited by any theory, where there are M- OH bonds in an organometallic photoresist, M-O-M’ bridges may form where M’ is from the metal precursor (e.g., metal halide or organometallic precursor).

[0180] The reactive gas species may be co-flowed with other gases. In some implementations, the reactive gas species may be co-flowed with an inert gas species such as helium, neon, argon, or xenon. In some implementations, combinations of reactive gas species may be co-flowed with each other. By way of illustration, a halogen-containing gas such as boron trichloride may be coflowed with a carbon-containing gas such as methane. In another example, a metal precursor such as tungsten hexafluoride may be co-flowed with a carbon-containing gas such as difluoromethane. The reactive gas species, alone or in combination with other reactive gas species, may convert the photoresist mask to another material or selectively deposit a protective film on the photoresist mask.

[0181] In some implementations, the reactive gas species may be supplied to the process chamber from a gas source fluidly coupled to the process chamber. Gas sources such as gas storage tanks may be fluidly coupled to the process chamber via gas supply lines. Gas reactants may be pre-mixed prior to entering the process chamber or mixed upon entering the process chamber. In some implementations, the reactive gas species may be generated in-situ in the process chamber. Gas reactants may react with one another to form reaction products that react with the metalcontaining photoresist mask to induce chemical change. Or a gas reactant may react with one or more chamber components (e.g., metal -based chamber lines) to form a reaction product that reacts with the metal-containing photoresist mask to induce chemical change. The gas reactant may be a carbon-containing precursor that reacts with a metallic chamber component to form an organometallic precursor. This reaction may be driven thermally to generate the organometallic precursor. For example, carbon monoxide supplied into the process chamber may react with iron- containing chamber lines to form iron carbonyl (Fe(CO)x) that readily reacts with an EUV photoresist mask, thereby increasing the line CD of the EUV photoresist mask. Without being limited by any theory, the iron carbonyl causes deposition of iron oxide on the EUV photoresist mask. In another example, carbon monoxide or carbon dioxide supplied into the process chamber may react with tungsten-containing chamber lines (e.g., hotwire) to form tungsten carbonyl (W(CO)x) that readily reacts with an EUV photoresist mask.

[0182] Chemical treatment of the metal-containing photoresist mask involving one or more reactive gas species may be employed with one or both of thermal treatment and plasma treatment. Whereas thermal treatment or plasma treatment alone may exhibit tradeoffs, such tradeoffs may be offset by further applying a chemical treatment to the metal-containing photoresist mask. Specifically, chemical treatment may be combined with thermal treatment so that one or more reactive gas species are flowed to the metal-containing photoresist mask at elevated temperatures. Though elevated temperatures may decrease line CD, the one or more reactive gas species may increase line CD in the metal-containing photoresist mask. In fact, the increased line CD resulting from the one or more reactive gas species may exceed the decreased line CD resulting from the elevated temperatures. This reduces dose-to-size while reducing defectivity and roughness in the metal-containing photoresist mask. In some embodiments, chemical treatment may be combined with plasma treatment so that radicals and/or ions of the reactive gas species are flowed to the metal-containing photoresist mask. The radicals and/or ions may increase reactivity of the reactive gas species with the metal-containing photoresist mask. The metal-containing photoresist mask may be exposed to one or more reactive gas species in plasma, which can change the chemical composition of the metal-containing photoresist mask and increase line CD and density. This can be done without necessarily compromising defectivity or roughness of the metal -containing photoresist mask. Plasma may be applied at a power to avoid damage to the substrate.

[0183] Inducing a surface reaction or bulk reaction in the metal-containing photoresist mask may occur by applying energy to the reaction. Some amount of energy from thermal exposure and/or plasma exposure may be sufficient to induce the surface reaction or bulk reaction. Accordingly, process conditions such as temperature and plasma power may be tuned to achieve the desired outcome. In some implementations, a substrate temperature may be between about 0°C and about 400°C, between about 50°C and about 300°C, or between about 100°C and about 250°C during chemical treatment with one or more reactive gas species. In some implementations, plasma power may be less than about 6 kW, between about 50 W and about 4000 W, between about 50 W and about 1000 W, or between about 100 W and about 500 W during chemical treatment with the one or more reactive gas species.

[0184] Other process conditions such as plasma frequency, exposure time, bias voltage, pressure, and flow rates may be tuned to facilitate chemical treatment using the one or more reactive gas species. In some implementations, the bias voltage may be applied that is less than about 800 V, between about 0 V and about 500 V, between about 10 V and about 400 V, or between about 30 V and about 300 V. In some implementations, exposure to the one or more reactive gas species may be applied for a duration between about 1 second and about 10 minutes, between about 5 seconds and about 8 minutes, or between about 30 seconds and about 4 minutes. In some implementations, chamber pressure may be between about 0.1 Torr and about 760 Torr, or between about 1 mTorr and about 100 mTorr in some cases. A first reactive gas species may be flowed into the process chamber at a flow rate between about 1 seem and about 1000 seem, between about 2 seem and about 500 seem, or between about 5 seem and about 300 seem. An optional second reactive gas species may be co-flowed into the process chamber at a flow rate between about 5 seem and about 1000 seem, between about 10 seem and about 500 seem, or between about 20 seem and about 300 seem. An optional inert gas species may be co-flowed into the process chamber at a flow rate between about 20 seem and about 2000 seem, between about 30 seem and about 1000 seem, or between about 50 seem and about 500 seem. By way of an example, carbon monoxide may be flowed into the process chamber at a flow rate of about 500 seem at a substrate temperature of about 240°C for a duration between about 20 seconds and about 5 minutes. The carbon monoxide may react with an EUV photoresist mask to change the chemical composition of the EUV photoresist mask. In an alternative example, tungsten hexafluoride may replace carbon monoxide to react with the EUV photoresist mask to change the chemical composition of the photoresist mask. The EUV photoresist mask may exhibit increased etch resistance during subsequent pattern transfer etching.

[0185] Figures 3 A-3D show cross-sectional schematic illustrations of various processing stages including development and treatment of photoresist according to some embodiments, where the treatment changes one or more properties of the photoresist. As shown in Figure 3 A, a wafer 300 includes a substrate 302 and a substrate layer 304 to be etched. In some embodiments, the substrate layer 304 includes an ashable hard mask such as amorphous carbon, spin-on carbon, or other material, e.g., silicon, silicon oxide, silicon nitride, silicon carbide, etc. In some embodiments, the substrate layer 304 may be a layer stack disposed on the substrate 302. The wafer 300 further includes a photopatterned metal-containing EUV resist film 306. For example, the photopattemed metal-containing EUV resist film 306 may be an organometal-containing layer disposed on the substrate layer 304 to be etched. The photopatterned metal-containing EUV resist film 306 may have a thickness between about 5 nm and about 50 nm or between about 10 nm and about 30 nm. The photopattemed metal-containing EUV resist film 306 may be provided in a process chamber after photopatterning in an EUV scanner and/or after a PEB treatment. The photopattemed metalcontaining EUV resist film 306 includes non-EUV exposed regions 306a and EUV exposed regions 306b.

[0186] As shown in Figure 3B, the non-EUV exposed regions 306a of the photopatterned metalcontaining EUV resist film 306 is removed in a development process. The development may use a wet development chemistry or dry development chemistry. Where dry development chemistry is applied, the dry development may proceed with or without striking a plasma. In some implementations, the dry development chemistry may include a halide-containing chemistry. A photoresist mask of the photopatterned metal -containing EUV resist film 306 is formed after development by removal of the non-EUV exposed regions 306a. Though Figures 3A-3D depict negative tone development, it will be understood that positive tone development may alternatively be applied in the present disclosure.

[0187] As shown in Figure 3C, the post-developed photoresist mask may undergo treatment to change one or more material properties to produce a chemically modified photoresist mask 308. The chemically modified photoresist mask 308 may have a different chemical composition than the photopatterned metal-containing EUV resist film 306. Unlike conventional polymer-based photoresist masks, the photopatterned metal-containing EUV resist film 306 may be composed of metal oxide. The photopattemed metal-containing EUV resist film 306 may be composed of networks of Sn-Sn bonds, Sn-H bonds, Sn-C bonds, Sn-OH bonds, Sn-0 bonds, Sn-O-Sn bonds, and Sn-O-C bonds. Organic gas species, organometallic gas species, metal-containing gas species, and other reactive gas species may be reactive with the photopatterned metal -containing EUV resist film 306. Many of aforementioned gas species would not ordinarily be reactive with conventional polymer-based photoresist masks. The reactive gas species of the present disclosure leverages the chemistry of the photopatterned metal-containing EUV resist film 306 to produce the chemically modified photoresist mask 308.

[0188] Reaction with the photopatterned metal-containing EUV resist film 306 is a diffusion- controlled reaction. As such, only some portions of the photopatterned metal-containing EUV resist film 306 converts to a new composition, or an entirety of the photopatterned metalcontaining EUV resist film 306 converts to a new composition. The diffusion-controlled reaction may be controlled by parameters such as process time, pressure, and temperature. By way of an illustration, a longer exposure time leads to deeper diffusion. In addition, a higher temperature may contribute to increased diffusion and reactivity. By tuning some of aforementioned parameters, some or all of the photopatterned metal-containing EUV resist film 306 is converted to the chemically modified photoresist mask 308.

[0189] In some implementations, the reactive gas species reacts with the photopatterned metalcontaining EUV resist film 306 at elevated temperatures so that the reaction is driven thermally. As such, the chemically modified photoresist mask 308 may be produced under thermal treatment and chemical treatment. In some implementations, the reactive gas species reacts with the photopatterned metal-containing EUV resist film 306 under plasma exposure, either under in-situ plasma or remote plasma exposure. Radicals and/or ions of the reactive gas species may react more readily with the photopattemed metal -containing EUV resist film 306. Accordingly, the chemically modified photoresist mask 308 may be produced under plasma treatment and chemical treatment.

[0190] The chemically modified photoresist mask 308 may have one or more new material properties. In some implementations, the chemically modified photoresist mask 308 may have an increased line CD compared to the photopattemed metal-containing EUV resist film 306, which can reduce dose-to-size. In some implementations, the chemically modified photoresist mask 308 may have an increased density compared to the photopatterned metal-containing EUV resist film 306. In some implementations, the chemically modified photoresist mask 308 may have an increased etch resistance compared to the photopatterned metal-containing EUV resist film 306. In some implementations, the chemically modified photoresist mask 308 may have a reduced roughness compared to the photopatterned metal -containing EUV resist film 306.

[0191] As shown in Figure 3D, the substrate layer 304 is etched using the chemically modified photoresist mask 308 to form recessed features in the wafer 300 defined by the chemically modified photoresist mask 308. The wafer 300 undergoes pattern transfer etching so that an etchant selectively removes the substrate layer 304 relative to the chemically modified photoresist mask 308. Pattern transfer etching may be performed with dry etching or wet etching. For example, dry etching may utilize a fluorine-based plasma etch process or oxygen-based plasma etch process. Pattern transfer etching may etch through the substrate layer 304 according to a pattern defined by the chemically modified photoresist mask 308. In some embodiments, the chemically modified photoresist mask 308 preserves or at least substantially preserves the increased line CD after pattern transfer etching. This shows that the one or more new material properties (e.g., increased line CD) from chemical treatment are preserved during pattern transfer etching.

Selective Deposition Treatment

[0192] In some implementations, the metal-containing photoresist mask may be exposed to one or more reactive gas species to selectively deposit a protective layer on the metal -containing photoresist mask. Though some reactive gas species discussed above may react with the metalcontaining photoresist mask to alter material properties by changing a chemical composition of the metal-containing photoresist mask, some reactive gas species may deposit material on the metal-containing photoresist mask. In some instances, deposition of material may proceed by selectively reacting with a surface of the metal-containing photoresist mask relative to the substrate layer of the substrate, thereby forming a protective layer on the metal-containing photoresist mask. In some instances, deposition of material may proceed by driving a reaction between gas reactants or decomposing a gas reactant on the surface of the metal-containing photoresist mask to form a protective layer on the metal-containing photoresist mask. In some instances, deposition of material may proceed by converting an outer surface to form reactive sites by which reactive gas species can deposit material on. Regardless of how the deposition proceeds, the deposition may be driven by thermally-assisted reactions, by plasma-assisted reactions, or both thermally- and plasma-assisted reactions. The protective layer may include carbon (e.g., boron-doped carbon) or a carbide, a nitride (e.g., boron nitride), a sulfide, a fluoride, an oxide such as a metal oxide (e.g., iron oxide), or elemental metal (e.g., tungsten) layer.

[0193] The protective layer may be selectively deposited on the metal-containing photoresist mask to increase line CD and reduce dose-to-size. Alternatively, the protective layer may be deposited on the metal-containing photoresist mask to maintain line CD during subsequent processing. The protective layer provides one or more materials at the surface of the metalcontaining photoresist mask that is non-volatile during subsequent pattern transfer etching. The resulting photoresist mask is more resistant to etch and provides increased selectivity between the photoresist mask and surrounding materials. The protective layer may serve to passivate the photoresist mask. Thus, the line CD may be maintained or substantially maintained after pattern transfer etching. In some cases, the protective layer may be deposited on the metal-containing photoresist mask to reduce roughness (e.g., LWR/LER) or at least maintain the same roughness. In some embodiments, the protective layer may also reduce occurrences of line breaks/bridging in the metal-containing photoresist mask.

[0194] The protective layer may be formed by introducing a precursor into the process chamber to adsorb on the metal-containing photoresist mask and converting the precursor with plasma energy or thermal energy. In some implementations, the conversion step reacts with an outer portion of the metal-containing photoresist mask to form the protective layer. For instance, the precursor may be an organic precursor or organometallic precursor such as a metal carbonyl precursor. Whereas organic precursors or organometallic precursors ordinarily do not react with conventional polymer-based photoresist materials, the organic or organometallic precursors of the present disclosure may react with metal -containing or metal oxide-containing photoresist materials to form protective films. The organic precursor or organometallic precursor may react with the outer portion of the metal-containing photoresist mask by thermal conversion to form a metal oxide. Put another way, the organic precursor or organometallic precursor may deposit a metal oxide on the surface of the metal-containing photoresist mask by a thermal vapor deposition process. Such metal oxides may include iron oxide, tungsten oxide, molybdenum oxide, and the like. Examples of thermal vapor deposition processes may include but are not limited to thermal physical vapor deposition (PVD), thermal chemical vapor deposition (CVD), and thermal atomic layer deposition (ALD).

[0195] The protective layer may be formed by introducing a metal-containing precursor into the process chamber and converting the metal-containing precursor with plasma energy or thermal energy. For example, the metal-containing precursor may include a metal halide such as tungsten hexafluoride, molybdenum hexafluoride, tin tetrachloride, or molybdenum chloride. Other metalcontaining precursors may have various ligands. Examples of such metal-containing precursors may include tin tetrakis(dimethylamide), hafnium tetrakis(dimethylamide), dimethyl aluminum, trimethyl aluminum, and titanium isopropoxide. As discussed above, the metal-containing precursor may react with the metal-containing photoresist mask by diffusing into the photoresist mask to change a chemical composition of the metal-containing photoresist mask. However, in some implementations, the metal-containing precursor may additionally or alternatively deposit a protective layer on the surface of the metal-containing photoresist mask. Whereas metalcontaining precursors such as tungsten hexafluoride ordinarily do not react with conventional polymer-based photoresist materials, the metal-containing precursors of the present disclosure may react with metal-containing or metal oxide-containing photoresist materials to form protective films. The metal-containing precursor may react with the outer portion of the metal-containing photoresist mask by thermal conversion to form a metal oxide or pure metal layer. Hence, the protective layer of a metal oxide or pure metal layer may be deposited on the metal -containing photoresist mask by thermal PVD, CVD, ALD, or other thermal vapor deposition process.

[0196] The protective layer may be formed by a plasma-based deposition process. One or more reactant gases may be flowed into the process chamber to adsorb on the metal-containing photoresist mask. In some implementations, plasma may be ignited in the process chamber to drive a reaction between reactive species to form the protective layer on the metal -containing photoresist mask. In some implementations, plasma may be ignited remotely from the process chamber so that one or more plasma-activated species may be introduced into the process chamber to react at the surface of the metal-containing photoresist mask, thereby forming the protective layer on the metal-containing photoresist mask. Accordingly, the protective layer may be deposited using an in-situ plasma or remote plasma. The protective layer may be deposited by plasma enhanced chemical vapor deposition (PECVD), plasma enhanced atomic layer deposition (PEALD), ion implantation, or other plasma-based deposition process. The reactant gases may include but are not limited to air, water vapor, hydrogen peroxide, carbon dioxide, carbon monoxide, oxygen, ozone, methane, methanol, ethanol, nitrogen, hydrogen, ammonia, nitrous oxide, nitric oxide, nitrogen dioxide, acetyl acetone, formic acid, acetic acid, hydrogen cyanide, boron trichloride, silicon tetrachloride, chlorine, bromine, hydrogen chloride, hydrogen bromide, hydrogen fluoride, hydrogen iodide, fluoromethane, difluoromethane, or combinations thereof. In some embodiments, the protective layer is composed of carbon or a carbide. For instance, plasma of reactant gases comprising a mixture of boron trichloride and methane may be used to deposit boron doped carbon on metal-containing or metal oxide-containing photoresist materials. In some embodiments, the protective layer is composed of a nitride. For example, plasma of reactant gases comprising a mixture of boron trichloride and nitrogen may be used to deposit boron nitride on metal-containing or metal oxide-containing photoresist materials. In some embodiments, the protective layer is composed of an elemental metal. By way of an example, plasma of metalcontaining precursors may be used to deposit a protective layer comprising tungsten, titanium, tantalum, ruthenium, aluminum, iron, hafnium, or combinations thereof. In some cases, plasma of metal-containing precursors may be used to deposit a protective layer comprising a metal oxide (e.g., titanium oxide), metal nitride (e.g., tungsten nitride), or metal carbide (e.g., tungsten carbide).

[0197] The protective layer may be selectively deposited on the metal -containing photoresist mask relative to surrounding materials (e.g., the substrate layer). Selective deposition occurs where the protective layer is preferentially deposited on the metal-containing photoresist mask compared to the surrounding materials. This implies that more of the protective layer is deposited on sidewalls and top surfaces of the metal-containing photoresist mask than in trenches or gaps occupying spaces between the metal-containing photoresist mask. In other words, selective deposition substantially avoids deposition in trenches or gaps. Selective deposition may occur where the one or more reactive gas species are more reactive with the metal-containing photoresist mask than the surrounding materials. In some cases, the one or more reactive species may achieve selective deposition by selectively depositing on the metal-containing photoresist mask while etching the substrate layer.

[0198] Thermal energy, plasma energy, or a combination of thermal and plasma energy may drive the reaction to selectively deposit the protective layer on the metal-containing photoresist mask. Various process conditions may be tuned to achieve selective deposition of the protective layer. In some implementations, a substrate temperature may be between about 0°C and about 400°C, between about 50°C and about 300°C, or between about 100°C and about 250°C during selective deposition of the protective layer. In some implementations, plasma power may be less than about 6 kW, between about 50 W and about 4000 W, between about 50 W and about 1000 W, or between about 100 W and about 500 W during selective deposition of the protective layer. Other process conditions such as plasma frequency, exposure time, bias voltage, pressure, and flow rates may be tuned to facilitate selective deposition of the protective layer. In some implementations, bias voltage may be applied that is less than about 800 V, between about 0 V and about 500 V, between about 10 V and about 400 V, or between about 30 V and about 300 V. In some implementations, exposure to the one or more reactive gas species for selective deposition may proceed for a duration between about 1 second and about 10 minutes, between about 5 seconds and about 8 minutes, or between about 30 seconds and about 4 minutes. In some implementations, chamber pressure may be between about 0.1 Torr and about 760 Torr, or between about 1 mTorr and about 100 mTorr in some cases. A first reactive gas species may be flowed into the process chamber at a flow rate between about 1 seem and about 1000 seem, between about 2 seem and about 500 seem, or between about 5 seem and about 300 seem. An optional second reactive gas species may be co-flowed into the process chamber at a flow rate between about 5 seem and about 1000 seem, between about 10 seem and about 500 seem, or between about 20 seem and about 300 seem. An optional inert gas species may be co-flowed into the process chamber at a flow rate between about 20 seem and about 2000 seem, between about 30 seem and about 1000 seem, or between about 50 seem and about 500 seem. By way of an example, boron trichloride may be flowed into the process chamber at a flow rate between about 0 seem and about 80 seem, and methane may be co-flowed into the process chamber at a flow rate between about 0 seem and about 80 seem. Plasma is ignited using a plasma power of about 100 W. Exposure to plasma of boron trichloride and methane occurs for a duration between about 5 seconds and about 40 seconds, where a substrate temperature is maintained at about 120°C. Plasma of boron trichloride and methane react with an EUV photoresist mask to selectively deposit boron doped carbon on the EUV photoresist mask. The EUV photoresist mask may exhibit reduced roughness, increased line CD, and increased etch resistance during subsequent pattern transfer etching. [0199] Figures 4A-4D show cross-sectional schematic illustrations of various processing stages including development and treatment of photoresist according to some embodiments, where the treatment selectively deposits a protective film on the photoresist. As shown in Figure 4A, a wafer 400 includes a substrate 402 and a substrate layer 404 to be etched. The wafer 400 further includes a photopatterned metal-containing EUV resist film 406. For example, the photopatterned metalcontaining EUV resist film 406 may be an organometal -containing layer disposed on the substrate layer 404 to be etched. The photopatterned metal-containing EUV resist film 406 may be provided in a process chamber after photopatteming in an EUV scanner and/or after a PEB treatment. The photopatterned metal-containing EUV resist film 406 includes non-EUV exposed regions 406a and EUV exposed regions 406b.

[0200] As shown in Figure 4B, the non-EUV exposed regions 406a of the photopatterned metalcontaining EUV resist film 406 is removed in a development process. The development may use a wet development chemistry or dry development chemistry. A photoresist mask of the photopatterned metal-containing EUV resist film 406 is formed after development by removal of the non-EUV exposed regions 406a. Though Figures 4A-4D depict negative tone development, it will be understood that positive tone development may alternatively be applied in the present disclosure.

[0201] As shown in Figure 4C, a protective film 410 is selectively deposited on the photoresist mask of the photopatterned metal-containing EUV resist film 406. The protective film 410 may be selectively deposited on the top surface and sidewalls of the photopatterned metal-containing EUV resist film 406. The protective film 410 avoids deposition on surfaces of the substrate layer 404. That way, the trenches or gaps defined by the photoresist mask of the photopatterned metalcontaining EUV resist film 406 are free or substantially free of the protective film 410. Bulk portions of the photopatterned metal-containing EUV resist film 406 remain the same compositionally after deposition of the protective film 410.

[0202] In some implementations, the protective film 410 includes a carbon film such as a boron doped carbon film. In some implementations, the protective film 410 includes a nitride film such as a boron nitride film. In some implementations, the protective film 410 includes an elemental metal, where the metal can include tungsten, molybdenum, hafnium, titanium, ruthenium, iron, or combinations thereof. In some instances, the protective film 410 includes an oxide such as a metal oxide. In some implementations, the protective film 410 includes a sulfide. In some implementations, the protective film 410 includes a fluoride. Gas reactants may be flowed into the process chamber towards the wafer 400. The gas reactants may react with one another or react with the photopatterned metal-containing EUV resist film 406 to selectively deposit on exposed surfaces of the photopatterned metal-containing EUV resist film 406. Example gas reactants may include an oxygen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a nitrogencontaining gas, a halogen-containing gas, a metal-containing gas, or combinations thereof. Organic gas species, organometallic gas species, metal-containing gas species, or other reactive gas species may be flowed into the process chamber to selectively deposit the protective film 410.

[0203] In some implementations, the protective film 410 is deposited at elevated temperatures so that deposition is driven thermally. At elevated temperatures, deposition of the protective film 410 is accompanied by thermal treatment. In some implementations, the protective film 410 is deposited under plasma exposure, either under in-situ plasma or remote plasma exposure. Radicals and/or ions of reactive gas species may facilitate selective deposition of the protective film 410 on the photopatterned metal-containing EUV resist film 406. As such, plasma exposure enhances deposition of the protective film 410. In some cases, plasma exposure enhances selectivity of deposition of the protective film 410 on the photopatterned metal-containing EUV resist film 406 relative to the substrate layer 404.

[0204] The protective film 410 may passivate the photopatterned metal-containing EUV resist film 406. The protective film 410 may provide an increased etch resistance to the photopattemed metal-containing EUV resist film 406. This prevents loss of material in the photopattemed metalcontaining EUV resist film 406 so that the line CD is maintained or at least substantially maintained during etching. In some implementations, the protective film 410 may maintain or provide a reduced roughness in the photopattemed metal-containing EUV resist film 406. In some implementations, the protective film 410 may maintain or provide an increased line CD in the photopatterned metal-containing EUV resist film 406, which can reduce dose-to-size. In some implementations, the protective film 410 reduces outgassing such as outgassing of tin.

[0205] As shown in Figure 4D, the substrate layer 404 is etched using the photopatterned metalcontaining EUV resist film 406 as a mask to form recessed features in the wafer 300. The wafer 400 undergoes pattern transfer etching so that an etchant selectively removes the substrate layer 404 relative to the photoresist mask of the photopatterned metal-containing EUV resist film 406. Pattern transfer etching may be performed with dry etching or wet etching. In some implementations, the protective film 410 preserves or at least substantially preserves the line CD of the photopattemed metal-containing EUV resist film 406 after pattern transfer etching.

[0206] Figures 5 A-5D show cross-sectional schematic illustrations of various processing stages including development and treatment of photoresist according to some embodiments, where the treatment changes one or more properties of the photoresist and selectively deposits a protective layer on the photoresist. As shown in Figure 5A, a wafer 500 includes a substrate 502 and a substrate layer 504 to be etched. The wafer 500 further includes a photopatterned metal-containing EUV resist film 506. For example, the photopatterned metal-containing EUV resist film 506 may be an organometal -containing layer disposed on the substrate layer 504 to be etched. The photopatterned metal-containing EUV resist film 506 may be provided in a process chamber after photopatterning in an EUV scanner and/or after a PEB treatment. The photopatterned metal - containing EUV resist film 506 includes non-EUV exposed regions 506a and EUV exposed regions 506b.

[0207] As shown in Figure 5B, the non-EUV exposed regions 506a of the photopatterned metalcontaining EUV resist film 506 is removed in a development process. The development may use a wet development chemistry or dry development chemistry. A photoresist mask of the photopatterned metal-containing EUV resist film 506 is formed after development by removal of the non-EUV exposed regions 506a. Though Figures 5A-5D depict negative tone development, it will be understood that positive tone development may alternatively be applied in the present disclosure.

[0208] As shown in Figure 5C, the post-developed photoresist mask may undergo treatment to change one or more material properties to produce a chemically modified photoresist mask 508 and a protective film 510 is selectively deposited on the chemically modified photoresist mask 508. The chemically modified photoresist mask 508 has a different chemical composition than the photopatterned metal-containing EUV resist film 506. Furthermore, the protective film 510 is selectively deposited on top surfaces and sidewalls of the chemically modified photoresist mask 508 while avoiding deposition on surfaces of the substrate layer 504. Trenches or gaps defined by the chemically modified photoresist mask 508 are free or substantially free of the protective film 510. Organic gas species, organometallic gas species, metal-containing gas species, and other reactive gas species may be reactive with the photopatterned metal-containing EUV resist film 506. The reactive gas species of the present disclosure leverages the chemistry of the photopatterned metal-containing EUV resist film 506 to produce the chemically modified photoresist mask 508 and to simultaneously deposit the protective film 510.

[0209] In some implementations, the reactive gas species reacts with the photopatterned metalcontaining EUV resist film 506 at elevated temperatures so that the reaction is driven thermally. The chemically modified photoresist mask 508 and the protective film 510 may be formed under thermal treatment and chemical treatment. In some implementations, the reactive gas species reacts with the photopatterned metal-containing EUV resist film 506 under plasma exposure, either under in-situ plasma or remote plasma exposure. Radicals and/or ions of the reactive gas species may facilitate deposition of the protective film 510 and conversion of the photopatterned metal-containing EUV resist film 506 to the chemically modified photoresist mask 508. The chemically modified photoresist mask 508 and the protective film 510 may be formed under plasma treatment and chemical treatment. In some implementations, plasma exposure enhances conversion and enhances selectivity of deposition of the protective film 510 on the chemically modified photoresist mask 508 relative to the substrate layer 504.

[0210] In some implementations, the protective film 510 includes a carbon film, a carbide film, a nitride film, a sulfide film, a fluoride film, an oxide film, or a metal-containing film such as an elemental metal film, where a metal of the metal-containing film can include tungsten, molybdenum, hafnium, titanium, ruthenium, iron, or combinations thereof. In some embodiments, the protective film 510 includes a metal oxide. Reactive gas species, including an oxygencontaining gas, a carbon-containing gas, a hydrogen-containing gas, a nitrogen-containing gas, a halogen-containing gas, a metal -containing gas, or combinations thereof, may react with one another and/or with the photopattemed metal -containing EUV resist film 506 to selectively deposit the protective film 510 and form the chemically modified photoresist mask 508.

[0211] The chemically modified photoresist mask 508 with the protective film 510 may exhibit one or more new material properties relative to the photopatterned metal-containing EUV resist film 506. In some implementations, the chemically modified photoresist mask 508 with the protective film 510 may have an increased line CD compared to the photopattemed metalcontaining EUV resist film 506, which can reduce dose-to-size. In some implementations, the chemically modified photoresist mask 508 with the protective film 510 may have an increased density compared to the photopattemed metal-containing EUV resist film 506. The protective film 510 may passivate the chemically modified photoresist mask 508. In some implementations, the chemically modified photoresist mask 508 and protective film 510 may have an increased etch resistance compared to the photopatterned metal-containing EUV resist film 506. This prevents loss of material in the chemically modified photoresist mask 508 so that the line CD is maintained or at least substantially maintained during etching. In some implementations, the chemically modified photoresist mask 508 and protective film 510 may have a reduced roughness compared to the photopattemed metal -containing EUV resist film 506. In some implementations, the chemically modified photoresist mask 508 may have reduced outgassing such as reduced outgassing of tin. [0212] As discussed above, post-development treatment of a metal-containing photoresist mask may involve a one or more approaches (e.g., thermal, plasma, chemical, deposition) to achieve a number of particular advantages. Some or all of these approaches may reduce defects or line breaks, increase density, reduce roughness, reduce outgassing, achieve better etch resistance, increase line CD, and/or reduce dose-to-size. The performance of a metal-containing photoresist mask such as a metal oxide-containing EUV photoresist mask may be determined by its dose-to- size, roughness, etch resistance, defects, and line breaks. Some of these improvements are described in detail below with reference to Figures 6-9.

[0213] Figure 6 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for descumming according to some embodiments. A substrate 600 includes a patterned metal-containing photoresist mask 610 after wet or dry development. Following wet or dry development scumming may exist on portions of the substrate 600. The scumming may take the form of particles or clusters 620 of metal oxide (e.g., SnOx) that occupy unmasked regions of the substrate 600. As wet or dry development proceeds, clusters of metal oxide become more concentrated. Development is generally selective for removal of organic material, causing the particles or clusters 620 to remain on the surface of the substrate 600 as scum. Some of the particles or clusters 620 occupy trenches or gaps of the patterned metal-containing photoresist mask 610, and some of the particles or clusters 620 remain on sidewalls of the patterned metal-containing photoresist mask 610 that lead to increased roughness.

[0214] The substrate 600 may be exposed to post-development treatment for descumming. In some implementations, the post-development treatment includes thermally annealing the substrate 600 to remove the particles or clusters 620. Thermally annealing the substrate 600 may expose the substrate 600 to temperatures equal to or greater than about 50°C, equal to or greater than about 100°C, between about 100°C and about 300°C, or between about 100°C and about 250°C. In some implementations, post-development treatment includes exposing the substrate 600 to plasma to remove the particles or clusters 620. Plasma treatment may expose the substrate 600 to an inert gas plasma such as a helium plasma or argon plasma. Alternatively, plasma treatment may expose the substrate 600 to reactive gas plasma. Plasma treatment may harden and densify the patterned metal-containing photoresist mask 610, remove the particles or clusters 620 for descumming, and smooth the sidewalls of the patterned metal -containing photoresist mask 610.

[0215] Figure 7 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for reducing LWR/LER according to some embodiments. A substrate 700 includes a patterned metal-containing photoresist mask 710 after wet or dry development. The edges of a mask may not be straight after development, leading to deviations from linearity. Deviations from linearity in the mask result in non-linearities that form in patterned features, which adversely impacts device performance. Such deviations from linearity may be characterized in the mask as LWR/LER. In some cases, the LWR/LER in the patterned metal-containing photoresist mask 710 may be at least partially attributable to unwanted defects such as scum on sidewalls of the patterned metal-containing photoresist mask 710.

[0216] The substrate 700 may be exposed to post-development treatment for reducing LWR/LER. As shown in Figure 7, the patterned metal-containing photoresist mask 710 exhibits smooth sidewalls and surfaces after post-development treatment. Thermally annealing the substrate 700 may reduce LWR/LER in the patterned metal -containing photoresist mask 710 by exposing the substrate 700 to temperatures equal to or greater than about 50°C, equal to or greater than about 100°C, between about 100°C and about 300°C, or between about 100°C and about 250°C. In some implementations, exposing the substrate 700 to plasma may achieve reduced LWR/LER in the patterned metal-containing photoresist mask 710. In some implementations, a protective film may be selectively deposited on the patterned metal-containing photoresist mask 710 to reduce LWR/LER.

[0217] Figure 8 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for increasing line CD by a chemical reaction with reactive gas species according to some embodiments. A substrate 800 includes a patterned metal-containing photoresist mask 810 after wet or dry development. Improved performance of lithography may be correlated with reduced dose-to-size of the patterned metal-containing photoresist mask 810. The dose-to-size is determined at least in part on a target line CD. Rather than reducing the radiation dose (mJ/cm 2 ) for a specified line CD to reduce dose-to-size, some post-development treatments may increase the line CD while applying the same radiation dose to reduce dose-to- size.

[0218] The substrate 800 may be exposed to various reactive gas species to react with the patterned metal-containing photoresist mask 810 to increase line CD. The reactive gas species may interact with the patterned metal-containing photoresist mask 810 in a manner that changes the chemical composition of the patterned metal-containing photoresist mask 810. This creates a chemically modified photoresist mask 820 having an expanded line CD. The line CD may increase by an amount equal to or greater than about 5%, equal to or greater than about 10%, equal to or greater than about 15%, equal to or greater than about 20%, or equal to or greater than about 25%. In some cases, the reaction of the reactive gas species with the patterned metal-containing photoresist mask 810 for expanding line CD may be enhanced by thermal energy and/or plasma energy.

[0219] Figure 9 shows cross-sectional schematic illustrations showing post-development treatment of photoresist for increasing line CD with a selectively deposited protective layer according to some embodiments. A substrate 900 includes a patterned metal-containing photoresist mask 910 after wet or dry development. A protective film 920 may be selectively deposited on top surfaces and sidewalls of the patterned metal-containing photoresist mask 910 relative to surrounding materials of the substrate 900. Various reactive gas species may react with one another or with exposed surfaces of the patterned metal-containing photoresist mask 910 to form the protective film 920. The protective film 920 provides an expanded line CD for the patterned metal-containing photoresist mask 910. The line CD may increase by an amount equal to or greater than about 5%, equal to or greater than about 10%, equal to or greater than about 15%, equal to or greater than about 20%, or equal to or greater than about 25%. In some cases, deposition of the protective film 920 and the selectivity of deposition on the exposed surfaces of the patterned metal-containing photoresist mask 910 may be enhanced by thermal energy and/or plasma energy.

Apparatus

[0220] An apparatus of the present disclosure is configured for post-development treatment of a patterned metal -containing photoresist mask. The apparatus may be configured for other processing operations such as deposition, bevel and backside cleaning, post-application baking, EUV scanning, post-exposure baking, development, etching, and other operations. In some implementations, the apparatus is configured to perform multiple dry operations. In some implementations, the apparatus is configured to perform a combination of wet and dry operations. The apparatus may include a single wafer chamber or multiple stations in the same process chamber. With multiple stations in the same process chamber, various processing operations such as those described in the present disclosure may be performed in different stations in the same process chamber. In some embodiments, a process chamber for post-development treatment of the present disclosure may be performed in the same chamber as development, in the same chamber as pattern transfer etching, or in the same chamber as both development and pattern transfer etching.

[0221] The apparatus configured to post-development treatment includes a process chamber with a substrate support. The apparatus may include at least a reactive gas source in fluid communication with the process chamber. The apparatus may include one or more gas lines for delivery of one or more reactive gas species. In some embodiments, the one or more reactive gas species may include an organic gas species, an organometallic gas species, a metal -containing gas species, or combinations thereof. In some embodiments, the one or more reactive gas species may include an oxygen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a nitrogencontaining gas, a halogen-containing gas, or combinations thereof. The one or more reactive gas species may be delivered to the process chamber via the one or more gas lines to treat a postdeveloped metal-containing photoresist mask. The apparatus may include one or more heating elements for temperature control. Such heating elements may be provided in the process chamber and/or in the substrate support. Or, such heating elements may be provided outside the process chamber. In some implementations, the apparatus may include a plasma source for generating plasma during treatment of the post-developed metal-containing photoresist mask. In some implementations, the one or more reactive species may selectively deposit a protective film on the post-developed metal-containing photoresist mask. The apparatus may further include one or more sensors for sensing particle count, wafer count, thickness count, or other parameters for triggering an endpoint of the post-development treatment.

[0222] Figure 10 depicts a schematic illustration of an example process station for maintaining an environment that is suitable for performing photoresist development, photoresist treatment, and/or etch operations according to some embodiments. A plurality of process stations 1000 may be included in a common low-pressure process tool environment. For example, Figure 11 depicts an implementation of a multi-station processing tool 1100, such as a VECTOR® processing tool available from Lam Research Corporation, Fremont, CA. In some implementations, one or more hardware parameters of the process tool 1100 including those discussed in detail below may be adjusted programmatically by one or more computer controllers 1150.

[0223] A process station may be configured as a module in a cluster tool. Figure 13 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition and patterning modules suitable for implementation of the implementations described herein. Such a cluster process tool architecture can include resist deposition, resist exposure (EUV scanner), resist development, resist rework, and etch modules, as described above and further below with reference to Figures 12 and 13.

[0224] Returning to Figure 10, process station 1000 fluidly communicates with reactant delivery system 1001 for delivering process gases to a showerhead 1006. Reactant delivery system 1001 optionally includes a mixing vessel 1004 for blending and/or conditioning process gases, for delivery to showerhead 1006. One or more mixing vessel inlet valves 1020 may control introduction of process gases to mixing vessel 1004. Where plasma exposure is used, plasma may also be delivered to the showerhead 1006 or may be generated in the process station 1000. As noted above, in at least some implementations, non-plasma thermal exposure is favored.

[0225] Figure 10 includes an optional vaporization point 1003 for vaporizing liquid reactant to be supplied to the mixing vessel 1004. In some implementations, a liquid flow controller (LFC) upstream of vaporization point 1003 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 1000. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.

[0226] Showerhead 1006 distributes process gases toward substrate 1012. In the implementation shown in Figure 10, the substrate 1012 is located beneath showerhead 1006 and is shown resting on a pedestal 1008. Showerhead 1006 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing process gases to substrate 1012.

[0227] In some implementations, pedestal 1008 may be raised or lowered to expose substrate 1012 to a volume between the substrate 1012 and the showerhead 1006. It will be appreciated that, in some implementations, pedestal height may be adjusted programmatically by a suitable computer controller 1050. In some implementations, the showerhead 1006 may have multiple plenum volumes with multiple temperature controls.

[0228] In some implementations, pedestal 1008 may be temperature controlled via heater 1010. In some implementations, the pedestal 1008 may be heated to a temperature of greater than 0°C and up to 300°C, for example 50°C to 280°C, such as about 100°C to 240°C, during postdevelopment treatment, as described in disclosed implementations. In some implementations, the heater 1010 of the pedestal 1008 may include a plurality of independently controllable temperature control zones.

[0229] Further, in some implementations, pressure control for process station 1000 may be provided by a butterfly valve 1018. As shown in the implementation of Figure 10, butterfly valve 1018 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some implementations, pressure control of process station 1000 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 1000.

[0230] In some implementations, a position of showerhead 1006 may be adjusted relative to pedestal 1008 to vary a volume between the substrate 1012 and the showerhead 1006. Further, it will be appreciated that a vertical position of pedestal 1008 and/or showerhead 1006 may be varied by any suitable mechanism within the scope of the present disclosure. In some implementations, pedestal 1008 may include a rotational axis for rotating an orientation of substrate 1012. It will be appreciated that, in some implementations, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 1050.

[0231] Where plasma may be used, for example in descumming, treatment, deposition, or smoothing operations, showerhead 1006 and pedestal 1008 electrically communicate with a radio frequency (RF) power supply 1014 and matching network 1016 for powering a plasma. In some implementations, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 1014 and matching network 1016 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are up to about 1000 W.

[0232] In some implementations, instructions for a computer controller 1050 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some implementations, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a recipe phase may include instructions for setting a flow rate of an etch gas, such as a hydrogen halide, and time delay instructions for the recipe phase. In some implementations, the controller 1050 may include any of the features described below with respect to controller 1150 of Figure 11.

[0233] As described above, one or more process stations may be included in a multi-station processing tool. Figure 11 shows a schematic view of an implementation of a multi-station processing tool 1100 with an inbound load lock 1102 and an outbound load lock 1104, either or both of which may include a remote plasma source. A robot 1106 at atmospheric pressure is configured to move wafers from a cassette loaded through a pod 1108 into inbound load lock 1102 via an atmospheric port 1110. A wafer is placed by the robot 1106 on a pedestal 1112 in the inbound load lock 1102, the atmospheric port 1110 is closed, and the load lock is pumped down. Where the inbound load lock 1102 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment to treat the substrate surface in the load lock prior to being introduced into a processing chamber 1114. Further, the wafer also may be heated in the inbound load lock 1102 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 1116 to processing chamber 1114 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the implementation depicted in Figure 11 includes load locks, it will be appreciated that, in some implementations, direct entry of a wafer into a process station may be provided.

[0234] The depicted processing chamber 1114 includes four process stations, numbered from 1 to 4 in the implementation shown in Figure 11. Each station has a heated pedestal (shown at 1118 for station 1), and gas line inlets. It will be appreciated that in some implementations, each process station may have different or multiple purposes. For example, in some implementations, a process station may be switchable between development and etch process modes. Additionally or alternatively, in some implementations, processing chamber 1114 may include one or more matched pairs of development and etch process stations. While the depicted processing chamber 1114 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some implementations, a processing chamber may have five or more stations, while in other implementations a processing chamber may have three or fewer stations.

[0235] Figure 11 depicts an implementation of a wafer handling system 1190 for transferring wafers within processing chamber 1114. In some implementations, wafer handling system 1190 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. Figure 11 also depicts an implementation of a controller 1150 (e.g., system controller) employed to control process conditions and hardware states of process tool 1100. Controller 1150 may include one or more memory devices 1156, one or more mass storage devices 1154, and one or more processors 1152. Processor 1152 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc.

[0236] In some implementations, controller 1150 controls all of the activities of process tool 1100. Controller 1150 executes system control software 1158 stored in mass storage device 1154, loaded into memory device 1156, and executed on processor 1152. Alternatively, the control logic may be hard coded in the controller 1150. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 1158 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 1100. System control software 1158 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 1158 may be coded in any suitable computer readable programming language.

[0237] In some implementations, system control software 1158 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 1154 and/or memory device 1156 associated with controller 1150 may be employed in some implementations. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.

[0238] A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 1118 and to control the spacing between the substrate and other parts of process tool 1100.

[0239] A process gas control program may include code for controlling process gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.

[0240] A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.

[0241] A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the implementations herein.

[0242] A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the implementations herein.

[0243] In some implementations, there may be a user interface associated with controller 1150. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.

[0244] In some implementations, parameters adjusted by controller 1150 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.

[0245] Signals for monitoring the process may be provided by analog and/or digital input connections of controller 1150 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 1100. Nonlimiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.

[0246] Controller 1150 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate development, clean, and/or etch processes according to various implementations described herein.

[0247] The controller 1150 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed implementations. Machine-readable media containing instructions for controlling process operations in accordance with disclosed implementations may be coupled to the controller 1150.

[0248] In some implementations, the controller 1150 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller 1150, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

[0249] Broadly speaking, the controller 1150 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller 1150 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some implementations, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

[0250] The controller 1150, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller 1150 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller 1150 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller 1150 is configured to interface with or control. Thus as described above, the controller 1150 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

[0251] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0252] As noted above, depending on the process step or steps to be performed by the tool, the controller 1150 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

[0253] ICP reactors which, in certain implementations, may be suitable for etch operations suitable for implementation of some implementations, are now described. Although ICP reactors are described herein, in some implementations, it should be understood that capacitively coupled plasma reactors may also be used.

[0254] Figure 12 schematically shows a cross-sectional view of an inductively coupled plasma apparatus 1200 appropriate for implementing certain implementations or aspects of implementations such as dry development, post-development treatment, and/or etch, an example of which is a Kiyo® reactor, produced by Lam Research Corp, of Fremont, CA. In other implementations, other tools or tool types having the functionality to conduct the dry development, post-development treatment, and/or etch processes described herein may be used for implementation.

[0255] The inductively coupled plasma apparatus 1200 includes an overall process chamber 1224 structurally defined by chamber walls 1201 and a window 1211. The chamber walls 1201 may be fabricated from stainless steel, aluminum, or plastic. The window 1211 may be fabricated from quartz or other dielectric material. An optional internal plasma grid 1250 divides the overall process chamber into an upper sub-chamber 1202 and a lower sub chamber 1203. In most implementations, plasma grid 1250 may be removed, thereby utilizing a chamber space made of sub chambers 1202 and 1203. A chuck 1217 is positioned within the lower sub-chamber 1203 near the bottom inner surface. The chuck 1217 is configured to receive and hold a semiconductor wafer 1219 upon which the etching and deposition processes are performed. The chuck 1217 can be an electrostatic chuck for supporting the wafer 1219 when present. In some implementations, an edge ring (not shown) surrounds chuck 1217, and has an upper surface that is approximately planar with a top surface of the wafer 1219, when present over chuck 1217. The chuck 1217 also includes electrostatic electrodes for chucking and dechucking the wafer 1219. A filter and DC clamp power supply (not shown) may be provided for this purpose. Other control systems for lifting the wafer 1219 off the chuck 1217 can also be provided. The chuck 1217 can be electrically charged using an RF power supply 1223. The RF power supply 1223 is connected to matching circuitry 1221 through a connection 1227. The matching circuitry 1221 is connected to the chuck 1217 through a connection 1225. In this manner, the RF power supply 1223 is connected to the chuck 1217. In various implementations, a bias power of the electrostatic chuck may be set at about 50 V or may be set at a different bias power depending on the process performed in accordance with disclosed implementations. For example, the bias power may be between about 20 V and about 100 V, or between about 30 V and about 150 V.

[0256] Elements for plasma generation include a coil 1233 is positioned above window 1211. In some implementations, a coil is not used in disclosed implementations. The coil 1233 is fabricated from an electrically conductive material and includes at least one complete turn. The example of a coil 1233 shown in Figure 12 includes three turns. The cross sections of coil 1233 are shown with symbols, and coils having an “X” extend rotationally into the page, while coils having a extend rotationally out of the page. Elements for plasma generation also include an RF power supply 1241 configured to supply RF power to the coil 1233. In general, the RF power supply 1241 is connected to matching circuitry 1239 through a connection 1245. The matching circuitry 1239 is connected to the coil 1233 through a connection 1243. In this manner, the RF power supply 1241 is connected to the coil 1233. An optional Faraday shield 1249 is positioned between the coil 1233 and the window 1211. The Faraday shield 1249 may be maintained in a spaced apart relationship relative to the coil 1233. In some implementations, the Faraday shield 1249 is disposed immediately above the window 1211. In some implementations, the Faraday shield 1249 is between the window 1211 and the chuck 1217. In some implementations, the Faraday shield 1249 is not maintained in a spaced apart relationship relative to the coil 1233. For example, the Faraday shield 1249 may be directly below the window 1211 without a gap. The coil 1233, the Faraday shield 1249, and the window 1211 are each configured to be substantially parallel to one another. The Faraday shield 1249 may prevent metal or other species from depositing on the window 1211 of the process chamber 1224.

[0257] Process gases may be flowed into the process chamber through one or more main gas flow inlets 1260 positioned in the upper sub-chamber 1202 and/or through one or more side gas flow inlets 1270. Likewise, though not explicitly shown, similar gas flow inlets may be used to supply process gases to a capacitively coupled plasma processing chamber. A vacuum pump, e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 1240, may be used to draw process gases out of the process chamber 1224 and to maintain a pressure within the process chamber 1224. For example, the vacuum pump may be used to evacuate the lower sub-chamber 1203 during a purge operation. A valve-controlled conduit may be used to fluidically connect the vacuum pump to the process chamber 1224 so as to selectively control application of the vacuum environment provided by the vacuum pump. This may be done employing a closed loop- controlled flow restriction device, such as a throttle valve (not shown) or a pendulum valve (not shown), during operational plasma processing. Likewise, a vacuum pump and valve controlled fluidic connection to the capacitively coupled plasma processing chamber may also be employed.

[0258] During operation of the apparatus 1200, one or more process gases may be supplied through the gas flow inlets 1260 and/or 1270. In certain implementations, process gas may be supplied only through the main gas flow inlet 1260, or only through the side gas flow inlet 1270. In some cases, the gas flow inlets shown in the figure may be replaced by more complex gas flow inlets, one or more showerheads, for example. The Faraday shield 1249 and/or optional grid 1250 may include internal channels and holes that allow delivery of process gases to the process chamber 1224. Either or both of Faraday shield 1249 and optional grid 1250 may serve as a showerhead for delivery of process gases. In some implementations, a liquid vaporization and delivery system may be situated upstream of the process chamber 1224, such that once a liquid reactant or precursor is vaporized, the vaporized reactant or precursor is introduced into the process chamber 1224 via a gas flow inlet 1260 and/or 1270.

[0259] Radio frequency power is supplied from the RF power supply 1241 to the coil 1233 to cause an RF current to flow through the coil 1233. The RF current flowing through the coil 1233 generates an electromagnetic field about the coil 1233. The electromagnetic field generates an inductive current within the upper sub-chamber 1202. The physical and chemical interactions of various generated ions and radicals with the wafer 1219 etch features of and selectively deposit layers on the wafer 1219. [0260] If the plasma grid 1250 is used such that there is both an upper sub-chamber 1202 and a lower sub-chamber 1203, the inductive current acts on the gas present in the upper sub-chamber

1202 to generate an electron-ion plasma in the upper sub-chamber 1202. The optional internal plasma grid 1250 limits the amount of hot electrons in the lower sub-chamber 1203. In some implementations, the apparatus 1200 is designed and operated such that the plasma present in the lower sub-chamber 1203 is an ion-ion plasma.

[0261] Both the upper electron-ion plasma and the lower ion-ion plasma may contain positive and negative ions, though the ion-ion plasma will have a greater ratio of negative ions to positive ions. Volatile etching and/or deposition byproducts may be removed from the lower sub-chamber

1203 through port 1222. The chuck 1217 disclosed herein may operate at elevated temperatures ranging between about 10°C and about 250°C. The temperature will depend on the process operation and specific recipe.

[0262] Apparatus 1200 may be coupled to facilities (not shown) when installed in a clean room or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to apparatus 1200, when installed in the target fabrication facility. Additionally, apparatus 1200 may be coupled to a transfer chamber that allows robotics to transfer semiconductor wafers into and out of apparatus 1200 using typical automation.

[0263] In some implementations, a controller 1230 (which may include one or more physical or logical controllers) controls some or all of the operations of a process chamber 1224. The controller 1230 may include one or more memory devices and one or more processors. In some implementations, the apparatus 1200 includes a switching system for controlling flow rates and durations when disclosed implementations are performed. In some implementations, the apparatus 1200 may have a switching time of up to about 500 ms, or up to about 750 ms. Switching time may depend on the flow chemistry, recipe chosen, reactor architecture, and other factors.

[0264] In some implementations, the controller 1230 is part of a system, which may be part of the above-described examples. Various aspects of the controller 1230 are described above.

[0265] EUVL patterning may be conducted using any suitable tool, often referred to as a scanner, for example the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL). The EUVL patterning tool may be a standalone device from which the substrate is moved into and out of for deposition and etching as described herein. Or, as described below, the EUVL patterning tool may be a module on a larger multi-component tool. Figure 13 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition, EUV patterning, and dry development/etch, and post-development treatment modules that interface with a vacuum transfer module, suitable for implementation of the processes described herein. While the processes may be conducted without such vacuum integrated apparatus, such apparatus may be advantageous in some implementations.

[0266] Figure 13 depicts a semiconductor process cluster tool architecture with vacuum- integrated deposition, patterning, and treatment modules that interface with a vacuum transfer module, suitable for implementations of processes described herein. The arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system. Deposition, patterning, and treatment modules are vacuum-integrated, in accordance with the requirements of a particular process. Other modules, such as for etch, may also be included on the cluster.

[0267] A vacuum transport module (VTM) 1338 interfaces with four processing modules 1320a-1320d, which may be individually optimized to perform various fabrication processes. By way of example, processing modules 1320a-1320d may be implemented to perform deposition, evaporation, ELD, dry development, clean, etch, treatment, strip, and/or other semiconductor processes. For example, module 1320a may be an ALD reactor that may be operated to perform in a non-plasma, thermal atomic layer depositions as described herein, such as Vector tool, available from Lam Research Corporation, Fremont, CA. And module 1320b may be a PECVD tool, such as the Lam Vector®. It should be understood that the figure is not necessarily drawn to scale.

[0268] Airlocks 1342 and 1346, also known as a loadlocks or transfer modules, interface with the VTM 1338 and a patterning module 1340. For example, as noted above, a suitable patterning module may be the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL). This tool architecture allows for work pieces, such as semiconductor substrates or wafers, to be transferred under vacuum so as not to react before exposure. Integration of the deposition modules with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as FEO, O2, etc.

[0269] As noted above, this integrated architecture is just one possible implementation of a tool for implementation of the described processes. The processes may also be implemented with a more conventional stand-alone EUVL scanner and a deposition reactor, such as a Lam Vector tool, either stand alone or integrated in a cluster architecture with other tools, such as etch, strip etc. (e.g., Lam Kiyo or Gamma tools), as modules, for example as described with reference to Figure 13 but without the integrated patterning module.

[0270] Airlock 1342 may be an “outgoing” loadlock, referring to the transfer of a substrate out from the VTM 1338 serving a deposition module 1320a to the patterning module 1340, and airlock 1346 may be an “ingoing” loadlock, referring to the transfer of a substrate from the patterning module 1340 back in to the VTM 1338. The ingoing airlock 1346 may also provide an interface to the exterior of the tool for access and egress of substrates. Each process module has a facet that interfaces the module to VTM 1338. For example, deposition process module 1320a has facet 1336. Inside each facet, sensors, for example, sensors 1-18 as shown, are used to detect the passing of wafer 1326 when moved between respective stations. Patterning module 1340 and airlocks 1342 and 1346 may be similarly equipped with additional facets and sensors, not shown.

[0271] Main VTM robot 1322 transfers wafer 1326 between modules, including airlocks 1342 and 1346. In one implementation, robot 1322 has one arm, and in another implementation, robot 1322 has two arms, where each arm has an end effector 1324 to pick wafers such as wafer 1326 for transport. Front-end robot 1344, in is used to transfer wafers 1326 from outgoing airlock 1342 into the patterning module 1340, from the patterning module 1340 into ingoing airlock 1346. Front-end robot 1344 may also transport wafers 1326 between the ingoing loadlock and the exterior of the tool for access and egress of substrates. Because ingoing airlock module 1346 has the ability to match the environment between atmospheric and vacuum, the wafer 1326 is able to move between the two pressure environments without being damaged.

[0272] It should be noted that an EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer between the deposition to the EUVL tool to allow the substrate to degas prior to entry into the patterning tool. Outgoing airlock 1342 may provide this function by holding the transferred wafers at a lower pressure, no higher than the pressure in the patterning module 1340, for a period of time and exhausting any off-gassing, so that the optics of the patterning module 1340 are not contaminated by off-gassing from the substrate. A suitable pressure for the outgoing, off-gassing airlock is no more than IE-8 Torr.

[0273] In some implementations, a controller 1350 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules. It should be noted that the controller can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. The controller 1350 may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain implementations, the system controller executes system control software.

[0274] The system control software may include instructions for controlling the timing of application and/or magnitude of any aspect of tool or module operation. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable compute readable programming language. In some implementations, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the controller. The instructions for setting process conditions for condensation, deposition, evaporation, patterning and/or etching phase may be included in a corresponding recipe phase, for example.

[0275] In various implementations, an apparatus for post-development treatment is provided. The apparatus may include a processing chamber for patterning, treatment, deposition, and etch, and a controller including instructions for post-development treatment of a patterned photoresist mask. The instructions may include code for, in the processing chamber, treating a patterned metal-containing photoresist mask after development. Such treatment may include thermal treatment, plasma treatment, chemical treatment, or selective deposition of a protective layer on the patterned metal-containing photoresist mask.

[0276] It should be noted that the computer controlling the wafer movement can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. A controller as described above with respect to any of Figures 10, 11, or 12 may be implemented with the tool in Figure 13. Conclusion

[0277] Treatment strategies (e.g., post-development treatment) to enhance EUV-lithographic performance of metal-containing EUV resist are disclosed. [0278] In the foregoing description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments are described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

[0279] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

[0280] The following claims are provided for further illustration of certain embodiments of the disclosure. The disclosure is not necessarily limited to these embodiments.