Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
PRESSURE CONTROL VALVE ASSEMBLY OF PLASMA PROCESSING CHAMBER AND RAPID ALTERNATING PROCESS
Document Type and Number:
WIPO Patent Application WO/2013/070416
Kind Code:
A1
Abstract:
A pressure control valve assembly includes a housing having an inlet, an outlet and a conduit; the inlet connected to a plasma processing chamber and the outlet to a vacuum pump. A fixed slotted valve plate having a first set of parallel slots therein is fixed in the conduit such that gasses withdrawn from the chamber into the conduit pass through the first set of parallel slots. A movable slotted valve plate having a second set of parallel slots therein is movable with respect to the fixed slotted valve plate so as to adjust pressure in the chamber. A drive mechanism attached to the movable slotted valve plate rapidly moves the movable slotted valve plate between first and second positions to change pressure in the chamber from a pressure to a lower pressure or from a lower pressure to a higher pressure.

Inventors:
ABATCHEV MIRZAFER (US)
RUSU CAMELIA (US)
MCMILLIN BRIAN (US)
Application Number:
PCT/US2012/061035
Publication Date:
May 16, 2013
Filing Date:
October 19, 2012
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01J37/02; F16K3/02
Foreign References:
US20090325386A12009-12-31
US4489756A1984-12-25
US20090242512A12009-10-01
US20070144607A12007-06-28
US20040200534A12004-10-14
Attorney, Agent or Firm:
SKIFF, Peter K. (P. O. Box 1404Alexandria, Virginia, US)
Download PDF:
Claims:
What is Claimed Is:

1. A pressure control valve assembly of a plasma processing chamber in which semiconductor substrates are processed, comprising:

a housing having an inlet, an outlet and a conduit extending between the inlet and the outlet, the inlet adapted to be connected to an interior of the plasma processing chamber and the outlet adapted to be connected to a vacuum pump which maintains the plasma processing chamber at desired pressure set points during processing of a semiconductor substrate in the chamber;

a fixed slotted valve plate having a first set of parallel slots therein and immovably fixed in the conduit such that gasses withdrawn from the chamber into the conduit pass through the first set of parallel slots;

a movable slotted valve plate having a second set of parallel slots therein and movable to first and second positions with respect to the fixed slotted valve plate so as to block the first set of parallel slots to a greater extent in the first position than in the second position; and

a drive mechanism attached to the movable slotted valve plate and operable to rapidly move the movable slotted valve plate between the first and second positions to change pressure in the chamber from a higher pressure to a lower pressure or from a lower pressure to a higher pressure.

2. The pressure control valve assembly of claim 1, wherein the drive mechanism includes a stepper motor which moves the movable slotted valve plate from the first position to the second position within 100 milliseconds.

3. The pressure control valve assembly of claim 1, wherein the fixed and movable slotted valve plates are circular, the first and second sets of slots having uniform widths and varying lengths, the slots on one half of the fixed and movable slotted valve plates being a mirror image of the slots on the other half thereof.

4. The pressure control valve assembly of claim 1, wherein the fixed and movable slotted valve plates are circular, the first and second sets of slots providing an open area of 30 to 50% through each of the fixed and movable slotted valve plates, the slots having uniform widths.

5. The pressure control valve assembly of claim 1, wherein the fixed and movable slotted valve plates are circular with a radius R, the first and second sets of slots having uniform widths of about 0.1 R. 6. The pressure control valve assembly of claim 1 , wherein the fixed and movable slotted valve plates are circular, the first and second sets of slots having uniform widths W and at least some of the slots having different lengths, the slots being spaced apart by a distance D which is equal to or greater than W. 7. The pressure control valve assembly of claim 1, wherein the fixed slotted valve plate is closer to the inlet than the movable slotted valve plate.

8. The pressure control valve assembly of claim 2, wherein the stepper motor is a 500 count per second or faster stepper motor operable to move the movable slotted valve plate from the first position to the second position within 70 milliseconds.

9. The pressure control valve assembly of claim 1, wherein the fixed slotted valve plate is circular and include 6 parallel slots providing an open area of 30 to 40%, the movable slotted .valve plate is circular and includes 5 parallel slots providing an open area of 30 to 40%, the first and second sets of slots being identical in width.

10. A method of processing a semiconductor substrate in a chamber having the pressure control valve assembly of claim 1 attached to an outlet of the chamber, comprising steps: (a) adjusting chamber pressure from a lower pressure to a higher pressure by positioning the movable slotted valve plate in the first position while supplying a processing gas to the chamber and (b) adjusting chamber pressure from a higher pressure to a lower pressure by positioning the movable slotted valve plate in the second position while supplying the same or different process gas to the chamber.

11. The method of claim 10, wherein the processing comprises plasma etching openings in silicon using alternating steps of etching while supplying an etching gas to the chamber and deposition while supplying a deposition gas to the chamber, the etching gas comprising a fluorine containing gas supplied for less than 1.3 seconds and energized into a plasma state while maintaining the first pressure above 150 mTorr and the deposition gas comprising a fluorocarbon containing gas supplied for less than 0.7 second and energized into a plasma state while maintaining the second pressure below 130 mTorr. 12. The method of claim 11 , further comprising a polymer clearing step before the etching step, the polymer clearing step being carried out by supplying a polymer clearing gas for at least 200 milliseconds and energizing the polymer clearing gas into a plasma state while maintaining the chamber pressure below 150 mTorr. 13. The method of claim 10, wherein the processing comprises depositing a film on the substrate.

14. The method of claim 10, wherein rapid alternating of steps (a) and (b) is carried out for at least 100 cycles.

15. The method of claim 10, wherein the movable slotted valve plate is moved from the first position to the second position within 300 milliseconds.

16. The method of claim 10, wherein the chamber is an inductively coupled plasma chamber having a chamber volume of at least 60 liters and the processing comprises energizing etching gas into a plasma state and plasma etching semiconductor substrate.

17. The method of claim 10, wherein the processing comprises a deposition process wherein chamber pressure is repeatedly varied while supplying the same or different process gas while the chamber is cycled between various set points.

Description:
PRESSURE CONTROL VALVE ASSEMBLY OF PLASMA PROCESSING CHAMBER AND RAPID ALTERNATING PROCESS

FIELD OF THE INVENTION

[0001] The invention relates to a pressure control valve assembly located between a vacuum pump and a plasma processing chamber in which semiconductor substrates are processed. The pressure control valve assembly can be used to effect rapid pressure changes in the plasma chamber during processing of a semiconductor substrate undergoing multi-step processing wherein changes in chamber pressure are desired.

BACKGROUND

[0002] The Bosch process is a plasma etch process that has been widely used to fabricate deep vertical (high aspect ratio) features (with depth such as tens to hundreds of micrometers), such as trenches and vias, in the semiconductor industry. The Bosch process comprises cycles of alternating etching steps and deposition steps. Details of the Bosch process can be found in U.S. Patent No. 5,501,893, which is hereby incorporated by reference. The Bosch process can be earned out in a plasma processing apparatus configured with a high-density plasma source, such as an inductively coupled plasma (ICP) source, in conjunction with a radio frequency (RF) biased substrate electrode. Process gases used in the Bosch process for etching silicon can be sulfur hexafluoride (SF 6 ) in an etching step and octofluorocyclobutane (C 4 F 8 ) in a deposition step. The process gas used in the etching step and the process gas used in the deposition step are respectively referred to as "etch gas" and "deposition gas" hereinbelow. During an etching step, SF 6 facilitates spontaneous and isotropic etching of silicon (Si); during a deposition step, C 4 F 8 facilitates the deposition of a protective polymer layer onto sidewalls as well as bottoms of the etched structures. The Bosch process cyclically alternates between etch and deposition steps enabling deep structures to be defined into a masked silicon substrate. Upon energetic and directional ion bombardment, which is present in the etching steps, any polymer film coated in the bottoms of etched structures from the previous deposition step will be removed to expose the silicon surface for further etching. The polymer film on the sidewall will remain because it is not subjected to direct ion bombardment, thereby, inhibiting lateral etching.

[0003] U.S. Patent Publication No. 2009/0242512 discloses an example of a multi- step Bosch type process in which the chamber pressure is at 35 mTorr for 5 seconds during deposition of a passivation film, 20 mTorr for 1.5 seconds during a low pressure etch step and 325 mTorr for 7.5 seconds during a high pressure etch step (see Table 4.2.1) or 35 mTorr for 5 seconds during deposition, 20 mTorr for 1.5 seconds during low pressure etch, 325 mTorr for 7.5 seconds during high pressure etch and 15 mTorr for 1 second during low pressure etch (see Table 4.2.2).

[0004] Variation in chamber pressure is desired in other processes such as atomic layer deposition, plasma enhanced CVD, multi-step processes of plasma etching openings in mask material and removal of the mask material, multi-step plasma etch processes wherein the concentration of etchant gas is periodically varied or different layers of material are sequentially etched. To reduce the overall processing time, reduction in the transition-period between high and low pressure phases of such cyclical processes would be desirable. For instance, U.S. Patent Publication No. 2009/0325386 discloses a conductance limiting element for rapid adjustment of pressure in a low volume vacuum chamber on the order of tens of milliseconds. The '386 publication states that during processing, a single chemical species can be flowed in the processing region during multiple pressure cycles or different chemical species can be introduced during multiple pressure cycles with the time at high or low pressure ranging from 0.1 to 2 seconds. SUMMARY

[0005] According to one embodiment, a pressure control valve assembly of a plasma processing chamber in which semiconductor substrates are processed comprises a housingj a fixed slotted valve plate, a movable slotted valve plate and a drive mechanism which moves the movable slotted plate between first and second positions. The housing includes an inlet, an outlet and a conduit extending between the inlet and the outlet wherein the inlet is adapted to be connected to an interior of the plasma processing chamber and the outlet is adapted to be connected to a vacuum pump which maintains the plasma processing chamber at desired pressure set points during processing the substrate. A preferred plasma process is rapid alternating phases of deposition of protective polymer and etching of high aspect ratio features into a semiconductor substrate undergoing processing in the chamber.

[0006] The fixed slotted valve plate includes a first set of parallel slots therein and the fixed slotted valve plate is immovably fixed in the conduit such that gasses withdrawn from the chamber travel into the conduit and pass through the first set of parallel slots. The movable slotted valve plate includes a second set of parallel slots therein and the movable slotted valve plate is moved by the drive mechanism between the first and second positions to effect a change in chamber pressure. For example, in the first position the movable slotted valve plate can completely block or partially block the first set of parallel slots to decrease gas flow conductance and raise chamber pressure. In the second position, the movable slotted valve plate can align the first set of slots with the second set of slots to increase gas flow

conductance and lower the chamber pressure. The drive mechanism is operable to rapidly move the movable slotted valve plate between the first and second positions. A preferred direction of movement of the movable slotted valve plate is linear movement.

[0007] In a method of processing a semiconductor substrate in a chamber having the pressure control valve assembly attached to an outlet of the chamber, the method includes adjusting chamber pressure from a lower pressure to a higher pressure by positioning the movable slotted valve plate in the first position while supplying a processing gas to the chamber and adjusting chamber pressure from a higher pressure to a lower pressure by positioning the movable slotted valve plate in the second position while supplying the same or different process gas to the chamber. The chamber is preferably an inductively coupled plasma (ICP) chamber in which RF energy is transmitted into the chamber through a dielectric window. ICP chambers used for single wafer processing of 300 mm diameter wafers can have chamber volumes up to 100 liters and pressure settings in the chamber can vary from 20 mTorr to 300 mTorr. The pressure control valve assembly described herein can be fitted between a vacuum pump and the outlet of an ICP chamber having a chamber volume of over 60 liters and rapid cycling of pressure changes in the chamber can be effected by reciprocated linear movement of the movable slotted valve plate.

[0008] In one embodiment, the processing can comprise plasma etching openings in silicon using alternating steps of etching and deposition wherein a first processing gas comprises a fluorine containing gas supplied for less than 1.3 seconds and energized into a plasma state while maintaining chamber pressure above 150 mTorr and a second processing gas comprises a fluorocarbon containing gas supplied for less than 0.7 second and energized into a plasma state while maintaining the chamber pressure below 130 mTorr. The method can further include a polymer clearing step before the etching step wherein the polymer clearing step is carried out by supplying a polymer clearing gas for at least 200 milliseconds and energizing the polymer clearing gas into a plasma state while maintaining the chamber pressure below 150 mTorr.

[0009] A further process comprises a deposition process wherein chamber pressure is repeatedly varied while supplying the same or different process gas while the chamber pressure is cycled between various set points. For example, at the different chamber pressures different process gases can be supplied or the same processing gas can be supplied at different flow rates.

BRIEF DESCRIPTION OF THE DRAWINGS

[0010] FIG. 1 shows a plasma processing system and pressure control valve which may be used to carry out rapid alternating processing of a semiconductor substrate.

[0011] FIG. 2A shows a prior art pressure control valve system.

[0012] FIG. 2B shows a top view of a throttle valve of the system shown in FIG. 2A.

[0013] FIG. 3A shows a pressure control system incorporating a throttle valve assembly having a fixed slotted valve plate and a movable slotted valve plate. [0014] FIG. 3B shows the movable slotted valve plate in a laterally offset position at which parallel slots in the fixed slotted valve plate and movable slotted valve plate are aligned and in fluid communication.

[0015] FIG. 3C shows an embodiment of the slotted valve plates wherein the movable valve plate has 5 parallel slots and the fixed valve plate has 6 parallel slots.

DETAILED DESCRIPTION

[0016] The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention. As used herein, the term "about" should be construed to include values up to 10% above or below the values recited.

[0017] Described herein is a pressure control valve assembly of a plasma processing chamber in which rapid pressure changes are desired. For example, deep features of semiconductor substrates can be processed by rapid alternating phases of etching and passivation (deposition of a protective layer of material) at different chamber pressures. The pressure control valve assembly is designed to minimize the time in which pressure can be changed in the plasma processing chamber. One limitation of the Bosch process is roughened sidewalls of etched deep features. This limitation is due to the periodic etch/deposition scheme used in the Bosch process and is known in the art as sidewall "scalloping". For many device applications, it is desirable to minimize this sidewall roughness or scalloping. The extent of scalloping is typically measured as a scallop length and depth. The scallop length is the peak-to-peak distance of the sidewall roughness and is directly correlated to the etch depth achieved during a single etch cycle. The scallop depth is the peak to valley distance of sidewall roughness and is correlated to the degree of anisotropy of an individual etching step. The extent of scallop formation can be minimized by shortening the duration of each etch/deposition step (i.e. shorter etch/deposition steps repeated at a higher frequency).

[0018] In addition to smoother feature sidewalls it is also desirable to achieve a higher overall etch rate. The overall etch rate is defined as a total depth etched in a process divided by a total duration of the process. The overall etch rate can be increased by increasing efficiency within a process step (i.e. decreasing dead time).

[0019] FIG. 1 shows a schematic view of a plasma processing system 300 including a plasma reactor 302 having a plasma processing chamber 301 therein. A plasma power supply 322, tuned by a match network 324 supplies power to an antenna 306 located near a window 304 to create a plasma 308 in plasma processing chamber 301. Antenna 306 may be configured to produce a uniform diffusion profile within processing chamber 301; for example, antemia 306 may be configured for a toroidal power distribution in plasma 308. Window 304 is provided between the antenna 306 and the interior of the plasma chamber 301 and is made of a dielectric material which allows RF energy to pass from antenna 306 to plasma chamber 301. A wafer bias voltage power supply 326 tuned by a match network 328 provides power to an electrode 310 to set the bias voltage on wafer 312, which is supported by electrode 10, incorporated in a substrate support which supports the wafer. Set points for plasma power supply 322 and wafer bias voltage power supply 326 are set by controller 336. The chamber 301 includes a vacuum pumping apparatus 320, and pressure control valve assembly 318, which control the interior of pressure of chamber 301.

[0020] FIG. 2A illustrates a conventional pressure control valve assembly. The pressure control valve assembly includes a pendulum tlirottle valve 11 between the process chamber 301 and turbomolecular pump 320 of the plasma processing system 300. Pivotal movement of the tlirottle valve 1 1 is controlled by a stepper motor, (not shown) which at count 0 the valve is fully closed and at count 1000 is fully opened. As shown in FIG. 2B, the throttle valve 11 is swung across the conduit between the chamber 301 and the vacuum pump 320 to control gas flow conductance.

[0021] Many rapid alternating processes for high aspect ratio features in silicon require considerable changes of pressure between passivating and etching phases. Most rapid alternating processes require throttle valve movement between 50 and 250 counts in less than 300 milliseconds, and current vacuum systems are not capable of covering this required range. As an example, it may be desirable to move a throttle valve from a maximum of 255 counts to a minimum position of 90 counts in under 300 milliseconds. However, with a pendulum throttle valve it may only be possible to move the valve from a maximum of 235 counts to a minimum position of 90 counts in 340 milliseconds (425 counts/second).

[0022] FIG. 3A shows an embodiment of a pressure control system wherein a pressure control valve assembly 2 includes a housing 3 having an inlet 4, an outlet 5 and a conduit 6 extending between the inlet and the outlet, the inlet adapted to be connected to an interior of the plasma processing chamber 301 and the outlet adapted to be connected to a vacuum pump 320 which maintains the plasma processing chamber at desired pressure set points during processing of a

semiconductor substrate in the chamber. The pressure control valve assembly includes a fixed slotted valve plate 12 having a first set of parallel slots 14 therein and fixed in the conduit such that gasses withdrawn from the chamber into the conduit pass through the first set of parallel slots and a movable slotted plate 13 having a second set of parallel slots 15 therein and movable to first and second positions with respect to the fixed slotted plate so as to block the first set of parallel slots 14 to a greater extent in the first position than in the second position. The fixed slotted valve plate 12 is preferably in the form of a slotted disk, and the movable slotted valve plate 13 is preferably in the form of a slotted disk which can be reciprocated in a linear direction in the conduit 6 between the process chamber 301 and turbomolecular pump 320 of the plasma processing apparatus 300. The movable slotted valve plate 13 is controlled by a drive mechanism 16 which includes a stepper motor (not shown). The fixed slotted valve plate 12 may be above or below the movable slotted valve plate 13.

[0023] FIG. 3B shows a top view of fixed slotted valve plate 12 and the movable slotted valve plate 13 positioned such that the slots 14, 15 are axially aligned. Slots 14 and 15 are preferably linear slots having the same widths and varying lengths and arranged such that when the stepper motor is at count 0 they are not aligned and at a non-zero position the slots 14 and 15 are aligned to provide greater flow

conductance of gases from the chamber. Referring back to FIG. 3A, it is possible to rapidly change pressure in the chamber by moving the movable slotted valve plate 13 a short distance to vary pressure in the chamber. For instance, the movable slotted valve plate 13 can be in a first position at which the slots 14 and 15 are more blocked to achieve a higher chamber pressure than when the movable slotted valve plate 13 is in a second position at which the slots 14 and 15 are less blocked to achieve a lower chamber pressure due to greater flow conductance through the pressure valve assembly. Alignment of the slots allows the pressure of the process chamber 301 (and therefore conductance) to be rapidly changed to desired set points in fewer stepper motor counts than with the conventional pressure control assembly shown in FIG. 2B.

[0024] FIG. 3C shows the fixed slotted valve plate 12 in the form of a disk with 6 parallel slots 14 which are oblique to the circumference of the disk. Movable slotted valve plate 13 is a disk with the same diameter as disk 12 but with 5 parallel slots 15 which are oblique to the circumference of the disk. Slots 14 and 15 can be configured such that at stepper motor count 0 there is no overlap (the slots are blocked), and at a non-zero position slots 14 and 15 overlap to allow a rapid pressure change to lower pressure in the chamber. While valve plates with 5 or 6 slots are illustrated, the. valve plates can have different slot arrangements such as 2 to 20 parallel slots and/or slots of different configurations.

[0025] The fixed slotted valve plate 12, and movable slotted valve plate 13, which have parallel slots 14 and 15 provide an improved pressure control system for controlling process chamber 301 pressures. The improved pressure control system can overcome problems, such as "scalloping" with conventional pressure control systems therein which have high step counts to change the pressure (conductance) of process chambers for plasma processing apparatus.

[0026] In a preferred arrangement fixed slotted valve plate 12 is immovably fixed in place below the movable slotted throttle plate 13 in a housing 3 located between the process chamber and turbomolecular pump. Alternatively the fixed slotted valve plate 12 may be located above the movable slotted valve plate 13. This configuration will allow the pressure and conductance of the process chamber to alternate at high speeds and conform with the rapid processing of the semiconductor substrate.

[0027] In use, a semiconductor substrate can be processed in a chamber having the pressure control valve assembly attached to an outlet of the chamber. The processing can include adjusting chamber pressure to a higher pressure by positioning the movable slotted valve plate in the first position at which the slots in the fixed slotted valve plate are blocked by the non-slotted portions of the movable slotted valve plate while supplying a first processing gas to the chamber. The chamber pressure can be adjusted to a lower pressure by positioning the movable slotted valve plate in a second position at which the slots in the fixed slotted valve plate are aligned with slots in the movable slotted valve plate to increase flow conductance of gases removed from the chamber. The chamber can be an inductively coupled plasma chamber having a chamber volume of over 60 liters.

[0028] The open area of the slots can be 25 to 50%, preferably 30 to 50%. The speed of changing the flow conductance can be increased by using valve plates with a larger number of slots. For example, the valve plates can each have 2 to 20 parallel slots of equal width. The space between the slots can be equal to or greater than the slot width. As an example, for a valve plate of radius R and 8 parallel slots of uniform width, the width of each slot can be about 0.1 R to provide an open area of about 35%.

[0029] The number and size of the slots can be arranged such that when the movable valve plate is in the first position, the slots partially overlap to provide an open area of 10 to 20% extending through both valve plates. When moved to the second position, the slots in the movable valve plate can overlap the slots in the fixed valve plate to a greater extent to provide an open area of 25 to 50%, preferably 30 to 40%. The stepper motor can rapidly move the movable slotted valve plate from the first position to the second position within 100 milliseconds (ms), e.g., within 70 ms.

[0030] In a comparison between a standard valve plate and a slotted valve plate assembly having 8 uniform width slots, the following Table shows the stepper motor counts, relative open area and time required to achieve movement of the valve plates using a standard 500 count per minute stepper motor.

[0031] The plasma processing apparatus can be used to etch silicon on a semiconductor substrate supported on a substrate support at a rate of at least 10 μηι/min and the plasma processing apparatus can alternately supply etch gas and deposition gas in a plasma confinement zone (chamber gap) in the processing chamber within about 500 milliseconds. In one embodiment, the etching gas is a fluorine containing gas such as SF 6 and the deposition gas is a fluorocarbon containing gas such as C 4 Fg.

[0032] In operation, the gas supply system preferably does not divert the etching gas to a vacuum line during supply of the deposition gas to the chamber and does not divert the deposition gas to a vacuum line during supply of the etching gas to the chamber. Processing of a substrate using the plasma processing apparatus described above preferably comprises (a) supporting the substrate in the chamber, (b) supplying the etching gas to the chamber, (c) energizing the etching gas in the chamber into a first plasma and processing the substrate with the first plasma, (d) supplying the deposition gas to the chamber, (e) energizing the deposition gas in the chamber into a second plasma and processing the substrate with the second plasma, (f) repeating steps (b)-(e) with a total cycle time of no greater than 1.8 seconds. The etching gas preferably replaces at least 90% of the deposition gas within a period of about 500 milliseconds in step (b), and the deposition gas preferably replaces at least 90% of the etching gas within a period of about 500 milliseconds (d). During the process, pressure in the chamber is varied from a first pressure setting to a second pressure setting during steps (b)-(e). During a cycle of supplying the etching gas and deposition gas, a total time of supplying the etching gas can be 1.5 seconds or less and a total time of supplying the deposition gas can be 1 second or less. For example, using SF 6 as the etch gas and C 4 F 8 as the deposition gas, pressure can be maintained above 150 mTorr in step (c) and below 140 mTorr in step (e).

[0033] Chamber pressure can be rapidly adjusted by maintaining the movable slotted valve plate in a first position at which higher chamber pressure is desired during step (c) and maintaining the movable slotted valve plate in a second position at which lower chamber pressure is desired during step (e). Thus, it is possible to maintain pressure in the chamber during supply of the etching gas greater than 70 mTorr (e.g., 80 mTorr) or greater than 150 mTorr (e.g., 180 mTorr) and pressure in the chamber during supply of the deposition gas less than 140 mTorr (e.g., 120 mTorr) or less than 60 mTorr (e.g., 50 mTorr). In a preferred process, the etching gas is supplied to the chamber at a flow rate of at least 500 seem and the deposition gas is supplied to the chamber at a flow rate of less than 500 seem. The alternate steps of supplying etching gas and deposition gas can be carried out for at least 100 cycles.

[0034] During the supply of the etching gas the substrate can be subjected to plasma etching of high aspect ratio openings with pressure in the chamber maintained at less than 150 mTorr for 200 milliseconds during a polymer clearing phase of the etching step and at over 150 mTorr for the remainder of the plasma etching step. During the supply of the deposition gas the second plasma can deposit a polymer coating on sidewalls of the openings with pressure in the chamber maintained at less than 150 rnTorr for the entire deposition step. The etching gas can be one or more of SF 6 , CF 4 , XeF 2 , NF 3 , CI containing gas such as CC1 4 and the deposition gas can be a fluorocarbon containing gas such as one or more of C 4 F 8 , C 4 F 6 , CH 2 F 2 , C 3 F 6 , CH 3 F. The etching gas can be supplied through any suitable gas delivery system including fast acting valves wherein fast acting solenoid valves upon receiving a signal from a controller send pneumatic air to a fast switching valves within 10 milliseconds and total time to open or close the fast switching valves can be 30 milliseconds or less. [0035] The pressure control valve assembly can also be used in processing other than etching. For example, the pressure control valve assembly can be incorporated in a deposition chamber in which films are deposited on semiconductor substrates. For deposition processes wherein it is desired to cycle chamber pressure while varying the gas flows in the chamber, the movable slotted valve plate can be reciprocated between higher conductance and lower conductance positions to effect pressure changes in the chamber.

[0036] Having disclosed the exemplary embodiments and the best mode, modifications and variations may be made to the disclosed embodiments while remaining within the subject and spirit of the invention as defined by the following claims.