Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
A PROCESS FOR ETCHING, AND CHAMBER CLEANING AND A GAS THEREFOR
Document Type and Number:
WIPO Patent Application WO/2016/188718
Kind Code:
A1
Abstract:
The present invention relates to a process for cleaning chambers of apparatus used for semiconductor manufacturing with a gas mixture comprising or consisting of fluorine, nitrogen and argon as well as said gas mixtures.

Inventors:
PITTROFF MICHAEL (DE)
WIELAND ROBERT (DE)
BOUDADEN JAMILA (DE)
Application Number:
PCT/EP2016/060021
Publication Date:
December 01, 2016
Filing Date:
May 04, 2016
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SOLVAY (BE)
FRAUNHOFER GES FORSCHUNG (DE)
International Classes:
C23C16/44; B08B7/00; C23F4/00; H01J37/32
Domestic Patent References:
WO2007116033A12007-10-18
WO2013092770A12013-06-27
Other References:
HWANG J ET AL: "Effects of N2 addition on chemical dry etching of silicon oxide layers in F2/N2/Ar remote plasmas", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A, AVS /AIP, MELVILLE, NY., US, vol. 24, no. 4, 22 June 2006 (2006-06-22), pages 1380 - 1385, XP012091089, ISSN: 0734-2101, DOI: 10.1116/1.2206190
Attorney, Agent or Firm:
MROSS, Stefan et al. (85 rue des Frères Perret BP62, RICL Saint-Fons, FR)
Download PDF:
Claims:
C L A I M S

1. A process for cleaning the treatment chamber of an apparatus used for semiconductor manufacturing wherein a gas mixture comprising or consisting of fluorine in a range of equal to or greater than 20% (v/v) to equal to or less than 40%) (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v) and argon in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v), is used as the etching gas.

2. The process of claim 1 wherein the gas mixture comprises or consists of fluorine in a range of equal to or greater than 25% (v/v) to equal to or less than 35%) (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 35% (v/v) and argon in a range of equal to or greater than 35% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v).

3. The process of claim 1 or 2 wherein the gas mixture comprises or consists of fluorine in a range of equal to or greater than 27.5% (v/v) to equal to or less than 32.5% (v/v), nitrogen in a range of equal to or greater than 27.5% (v/v) to equal to or less than 32.5% (v/v) and argon in a range of equal to or greater than 37.5% (v/v) to equal to or less than 42.5% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v).

4. The process of any one of claims 1 to 3 wherein the gas mixture consists of around 30% (v/v) fluorine, around 30% (v/v) nitrogen and around

40% (v/v) argon.

5. The process of any one of claims 1 to 4 wherein the gas mixture is used in the main chamber cleaning step.

6. The process of any one of claims 1 to 5 wherein the pressure in the chamber is in the range between 1 and 3.5 Torr.

7. The process of any one of claims 1 to 6 wherein the process is plasma- assisted using a remote plasma source with a frequency from 100 KHz to 1 GHz, preferably around 400 KHz.

8. The process of any one of claims 1 to 7 wherein the apparatus is optimized for the use of NF3 as etching gas.

9. The process of any one of claims 1 to 8 wherein an inorganic material selected from the group consisting of amorphous Si, S13N4, SiOxNy wherein 0 < x < 3 and 0 < y < 4, Si02, TaN, TiN or W, preferably Si02, is removed by etching with the etching gas. 10. A gas mixture comprising or consisting of fluorine in a range of equal to or greater than 20% (v/v) to equal to or less than 40% (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v) and argon in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v).

11. The gas mixture of claim 10 wherein the gas mixture consists of around 30%) (v/v) fluorine, around 30% (v/v) nitrogen and around 40% (v/v) argon.

12. Use of the gas mixture of claim 10 or 11 as etching gas for the cleaning of chambers of apparatus used in semiconductor manufacturing. 13. The use of claim 12 wherein the gas mixture is used as a drop-in replacement for NF3 as etching gas.

Description:
A process for etching and chamber cleaning and a gas therefor

This application claims priority to European application No. 15168904.9 filed May 22, 2015, the whole content of this application being incorporated herein by reference for all purposes. The present invention relates to a process for cleaning chambers of apparatus used for semiconductor manufacturing with a gas mixture comprising or consisting of fluorine, nitrogen and argon as well as said gas mixtures.

Treatment chambers are widely used to manufacture semiconductors, flat panel displays or photovoltaic elements. The manufacture generally comprises operations such as etching or chemical vapor deposition of a substrate which, during the treatment, is typically located on a support provided inside the treatment chamber. During the manufacture of semiconductors, photovoltaic cells, thin film transistor (TFT) liquid crystal displays, and micro- electromechanical systems (MEMS), often consecutive steps of deposition of material, e.g. by chemical vapor deposition (CVD), are performed on a substrate in the treatment chamber. During operation of the respective apparatus, depositions not only occur on the substrates, but also for example on the inside walls of the treatment chamber. Regular removal of such deposits is desirable to obtain stable and repeatable deposition results and is generally performed by etching said deposits with a gas containing fluorine atoms.

NF 3 is widely used in the industry as the etching gas. However, NF 3 is a greenhouse gas, with a global warming potential (GWP) 17,200 times greater than that of C0 2 when compared over a 100-year period. Thus, alternative etching gases have been proposed, such as COF 2 or molecular fluorine. WO 2013/092770 discloses ternary mixtures of fluorine, nitrogen and argon with a relatively low content of argon in the mixture.

However, there is still a need for improved processes and gas mixtures used for the cleaning of treatment chambers. Consequently, one objective of the present invention is to identify improved processes and gas compositions as etching gas for the cleaning of treatment chambers. The process and gas mixture of the present invention advantageously lead to an improved etch rate of the unwanted deposits in the treatment chamber, lead to an reduced time of the overall cleaning process, to a more effective cleaning of the treatment chamber, to a reduction in the consumption of fluorine-containing etching gas and/or to a more economical cleaning process. A further objective is to provide a gas mixture that can more effectively and readily replace NF 3 as the etching gas in the cleaning process.

These and other objectives are achieved by the process and the gas mixture according to the present invention.

Accordingly, a first aspect of the present invention concerns a process for cleaning the treatment chamber of an apparatus used for semiconductor manufacturing wherein a gas mixture comprising or consisting of fluorine in a range of equal to or greater than 20% (v/v) to equal to or less than 40%> (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v) and argon in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v), is used as the etching gas. Preferably, the gas mixture comprises or consists of fluorine in a range of equal to or greater than 25% (v/v) to equal to or less than 35% (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 35% (v/v) and argon in a range of equal to or greater than 35% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v). More preferably, the gas mixture comprises or consists of fluorine in a range of equal to or greater than 27.5% (v/v) to equal to or less than 32.5% (v/v), nitrogen in a range of equal to or greater than 27.5% (v/v) to equal to or less than 32.5% (v/v) and argon in a range of equal to or greater than 37.5% (v/v) to equal to or less than 42.5% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v). Specifically, the gas mixture consists of around 30% (v/v) fluorine, around 30% (v/v) nitrogen and around 40% (v/v) argon.

Preferably, the gas mixture may consist of only fluorine, nitrogen and argon. Alternatively, the gas mixture may contain other components like neon, oxygen, helium, N20, NO, or mixtures thereof.

The term "around" is intended to denote that the components are present in the gas mixture according to the percentage values given above. However, they may also be present in the percentage given within a margin of error which is due to the technically process for the preparation of the gas mixtures. Generally, this margin of error is assumed to be around +/- 0.5% (v/v). The process for treatment chambers is generally performed in different stages. For example, a suitable process for a plasma-assisted cleaning might comprise at least 4 different stages.

The first stage is the Ignition Stage, wherein the plasma is ignited. Usually, this Ignition Stage is performed with pure argon, as argon is known to be very suitable for igniting plasma.

Surprisingly, it has now been found that the gas mixtures according to the present invention can also be used to ignite the plasma source without admixing further amounts of argon into the mixture. Thus, the complete cleaning cycle can be performed with the inventive gas mixtures without the need to switch between different gas mixtures for the individual steps.

The second stage is the Pre-clean Stage. In this stage, the etching gas generally contains less fluorine-containing gas. It may be performed if relative large amount of deposit is found in certain parts of the chamber. In order to prevent an over-heating of parts of the chamber, these large deposits are etched in this Pre-clean stage with a milder gas mixture with less fluorine.

The third stage is the Main Cleaning Stage, wherein the majority of the deposits are removed from the treatment chamber. Advantageously, the Main Cleaning Stage might be the longest stage in the cleaning process. It might also be the stage wherein the most etching has is consumed.

The fourth stage is the Post-Cleaning Stage, which generally uses an etching gas with a lower fluorine concentration. In this stage, any residual deposits in more remote and less easily accessible parts of the chamber are being etched.

Preferably, the inventive gas mixture is used in at least one of the cleaning stages. More preferably, it is used in the Main Cleaning Stage.

The cleaning of the treatment chamber is generally performed at relatively low pressures; a suitable value for the Main Cleaning Stage is 4 Torr.

Surprisingly, it has been found that the inventive gar mixtures allow for even lower pressures in the chamber, likely due to their higher reactivity. Accordingly, the process is preferably performed at a pressure in the chamber in the range between 1 and 3.5 Torr, more preferably at a pressure of around 3 Torr.

Often, the cleaning process is assisted by plasma. Plasma can be generated by applying a high frequency voltage between opposed electrodes or in a magnetron which provides microwaves the frequency of which is to the upper range of radio frequencies. The electromagnetic waves heat up the gas phase inside the plasma reactor. Atoms with high reactivity are formed, e.g. F atoms which then etch matter away, forming volatile reaction products. Surprisingly, the inventive gas mixtures allow for a relative low frequency to be used while maintaining a stable plasma. Accordingly, another embodiment is a process wherein the process is plasma-assisted using a remote plasma source with a frequency from 100 KHz to 1 GHz, preferably around 400 KHz.

NF 3 is currently one of the most commonly used etching gases for chamber cleaning. Thus, it would be advantageous to provide gas mixtures that allow for the replacement of the NF 3 with minimal modifications to the apparatus and its tuning. This is generally referred to as "drop-in" substitution. Surprisingly, it has been shown that the inventive gas mixtures can not only be used on apparatus optimized and/or tuned for the use with NF 3 but provides even improved results as compared to NF 3 . Accordingly, another embodiment concerns a process wherein the apparatus is optimized for the use of NF 3 as etching gas.

The deposits to be removed in the cleaning process comprise inorganic materials like Si, Si 3 N 4 , SiO x N y wherein 0 < x < 3 and 0 < y < 4, Si0 2 , TaN, TiN or W. Accordingly, another embodiment of the present invention concerns a process wherein Si, S1 3 N 4 , SiO x N y wherein 0 < x < 3 and 0 < y < 4, Si0 2 , TaN, TiN or W, more preferably Si0 2 , is removed by etching with the etching gas.

In a second aspect, the present invention concerns a gas mixture comprising or consisting of fluorine in a range of equal to or greater than 20% (v/v) to equal to or less than 40%> (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v) and argon in a range of equal to or greater than 25% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v).

Preferably, the gas mixture comprises or consists of fluorine in a range of equal to or greater than 25% (v/v) to equal to or less than 35% (v/v), nitrogen in a range of equal to or greater than 25% (v/v) to equal to or less than 35% (v/v) and argon in a range of equal to or greater than 35% (v/v) to equal to or less than 45% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v). More preferably, the gas mixture comprises or consists of fluorine in a range of equal to or greater than 27.5% (v/v) to equal to or less than 32.5% (v/v), nitrogen in a range of equal to or greater than 27.5% (v/v) to equal to or less than 32.5% (v/v) and argon in a range of equal to or greater than 37.5% (v/v) to equal to or less than 42.5% (v/v), relative to the total composition of fluorine, argon, nitrogen and optional other components as 100 % (v/v). Specifically, the gas mixture consists of around 30% (v/v) fluorine, around 30%> (v/v) nitrogen and around 40%> (v/v) argon. In another specific embodiment, the gas mixture consists of around 30%> (v/v) fluorine, around 45% (v/v) nitrogen and around 25% (v/v) argon or the gas mixture consists of 30%) (v/v) fluorine, 45% (v/v) nitrogen and 25% (v/v) argon.

In a third aspect, the invention concerns the use of the gas mixture as etching gas for the cleaning of chambers of apparatus used in semiconductor manufacturing, for example in the process as described above. Preferably, the gas mixture is used as a drop-in replacement for NF 3 .

The inventive gas mixtures can easily be prepared by condensing or pressing the desired amounts of fluorine, argon and nitrogen into a pressure bottle.

Should the disclosure of any patents, patent applications, and publications which are incorporated herein by reference conflict with the description of the present application to the extent that it may render a term unclear, the present description shall take precedence.

The following examples shall explain the invention in further detail, but they are not intended to limit the scope of the invention.

Examples

I. Determination of etch rates :

The etch rates were determined in situ by reflectrometry using a 645 nm laser directed to the sample. The etch rate was calculated by dividing the thickness of the film by the time when the removal endpoint was detected.

2. Samples :

The size of the samples was a 200 mm wafer. The investigated material was deposited on a 150 nm thermal Si0 2 layer to allow interferometric measurement. The Si0 2 samples were deposited on bulk silicon since their optical properties allow interferometric measurements.

3. Chamber Cleaning Experiments on Alta-CVD

The experiments were performed on an AltaCVD Tool with a two-chamber system with "Brooks VX400" loader. Chamber PM2 was used for

doped/undoped poly-Si films. The heater temperature was set to 400 °C, the wall temperature was 55 °C.

An MKS "Paragon" remote plasma source optimiszed for NF 3 was used with a frequency of 400 kHz. The dissociated ions and radicals enter the chamber near the slit valve and flow between heater and shower head. The remote plasma source was usually ignited in the presence of pure argon. Directly after the plasma was in a stable condition, the gas mixture comprising fluorine was introduced.

The respective gas mixture was delivered to the machine from gas cylinders with the size of 10 1. The apparatus was equipped with a "Brooks GF 125" digital mass flow controller, metal sealed, VCR suitable for all kind of corrosive gases.

A cleaning process was than performed with three individual cleaning steps (pre-clean, main clean and post clean) for a combined time of 45 s.

Example 1 : Chamber cleaning with different F 2 /Ar/N2 mixtures

A 1-2 μιη thick PETEOS-film was deposited on 200 mm Si-substrates and thickness measured with spectrometer (OMT) / ellipsometer

(tencor UV1280SE), 49 points, 10mm edge exclusion. Wafers were loaded into the chamber. Si0 2 -etch rates were calculated after measuring post-etch TEOS film thickness.

For each example, the main cleaning step (second row) was done with the pure etching gas mixture while the pre- and post-cleaning steps were performed with the addition of 1140 and 850 seem ("standard cubic centimeter"), respectively. The other parameters (step time t, pressure p and respective flow rates of argon, etching gas and total gas) are given in the table below.

Example 1 a shows the inventive mixture whereas the comparative examples lb and lc were performed with gas mixtures outside the claimed range.

Results:

Example 1 : F2/N2/Ar (30%/45%/25%)

t P Ar Etch gas Total Etch Rate F-Gas

[s] [Torr] [seem] [seem] [seem] [nm/min] [g]

5 3 1140 450 1590 1343

12 3 0 1660 1860

12 4 850 930 1780

Example 1a: F2/N2/Ar (30%/30%/40%)

t P Ar Etch gas Total Etch Rate F-Gas

[s] [Torr] [seem] [seem] [seem] [nm/min] [g]

5 3 1140 450 1590 1253 0,30

12 3 0 1860 1860

12 4 850 930 1780

Comparative Example 1 b: F2/N2/Ar (30%/20%/50%) t P Ar Etch gas Total Etch Rate

[s] [Torr] [seem] [seem] [seem] [nm/min]

5 3 1140 450 1590 1127

12 3 0 1860 1860

12 4 850 930 1780

Comparative Example 1 c: F2/N2/Ar (30%/50%/20%) t P Ar Etch gas Total Etch Rate

[s] [Torr] [seem] [seem] [seem] [nm/min]

5 3 1140 450 1590 1098

12 3 460 1400 1860

12 4 1080 700 1780

The results show that surprisingly, the etch rate shows an unexpected maximum value of 1253 nm/min for the inventive gas mixture F 2 /N2/Ar

(30%/30%/40% each v/v) and another unexpected maximum of 1343 nm/min for a gas mixture F2/N2/Ar (30%/45%/25% each v/v). Variation of the nitrogen and argon content just outside the claimed range results in a decrease of etch rate. The inventive gas mixture of experiment la leads to etch rates more than 10% higher than the next best result with the gas mixture of comparative experiment lb.

Comparative Example 2: Chamber cleaning with NFs/Ar mixtures

Three different cleaning processes were performed with different NFs/Ar mixtures as shown in the table below. All other parameters were identical to the ones referred to in Experiment 1.

Results:

The results show that the inventive gas mixture of experiment la leads to etch rates more than 10% higher than the next best result with the NFs/Ar gas mixture of comparative experiment 2. Additionally, the consumption of fluorine (calculation based on the total weight of fluorine atoms consumed, last column) is clearly higher in the best result of Comparative Example 2 compared to the consumption calculated for result with the inventive mixture of Experiment la.