Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
PROTECTIVE VIA CAP FOR IMPROVED INTERCONNECT PERFORMANCE
Document Type and Number:
WIPO Patent Application WO/2015/184362
Kind Code:
A1
Abstract:
Exemplary methods of forming a semiconductor structure may include etching a via through a semiconductor structure to expose a first circuit layer interconnect metal. The methods may include forming a layer of a material overlying the exposed first circuit layer interconnect metal. The methods may also include forming a barrier layer within the via having minimal coverage along the bottom of the via. The methods may additionally include forming a second circuit layer interconnect metal overlying the layer of material.

Inventors:
NAIK MEHUL (US)
MA PAUL F (US)
NEMANI SRINIVAS D (US)
Application Number:
PCT/US2015/033340
Publication Date:
December 03, 2015
Filing Date:
May 29, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L23/48
Foreign References:
US20100081272A12010-04-01
US20140097538A12014-04-10
US20120061839A12012-03-15
US20110136339A12011-06-09
US20040121583A12004-06-24
Attorney, Agent or Firm:
MCCORMICK, Daniel K. et al. (Two Embarcadero CenterSan Francisco, California, US)
Download PDF:
Claims:
CLAIMS:

1. A method of forming a semiconductor structure comprising:

etching a via through a semiconductor structure, wherein the etching exposes a first metal;

forming a layer of material overlying the exposed first metal; and

forming a second metal overlying the layer of material. 2. The method of claim 1, wherein the layer of material comprises a transition metal or a transition metal oxide. 3. The method of claim 1, wherein the layer of material is formed to a thickness of between about 0.5 nm and 10 nm. 4. The method of claim 1 , further comprising forming a barrier layer within the etched via prior to forming the second metal overlying the layer of material. 5. The method of claim 4, wherein the barrier layer is deposited to a first thickness along the sidewalls of the via, and to a second thickness less than the first thickness over the layer of material, and wherein the via includes no other materials besides the second metal and barrier materials. 6. The method of claim 5, wherein the barrier layer comprises a transition metal. 7. The method of claim 6, wherein the barrier layer comprises manganese. 8. The method of claim 1 , wherein at least one of the first metal and the second metal comprise copper. 9. The method of claim 1, wherein the first metal comprises an interconnect for a first level of a semiconductor structure, and the second metal comprises an interconnect for a second level of a semiconductor structure. 10. The method of claim 1, wherein at least a portion of the etch comprises:

contacting a portion of the semiconductor structure with non-reactive ions from a capacitively coupled plasma; and exposing the contacted portion of the semiconductor structure to a plasma-generated reactive species. 11. The method of claim 1 , wherein the etching is performed as an operation of a single or dual damascene process. 12. The method of claim 1, wherein the semiconductor structure is maintained under vacuum between the etching operation and the layer of material forming operation. 13. A method of forming a protective cap within an integrated circuit structure, the method comprising:

etching a via through a semiconductor structure, wherein the semiconductor structure comprises at least a first circuit layer and a second circuit layer, and wherein the etching is performed through the second circuit layer to expose an interconnect metal in the first circuit layer;

cleaning the interconnect metal;

forming a cobalt-containing protective cap overlying the exposed first metal;

forming a barrier layer comprising manganese along the sidewalls of the via, wherein the barrier layer is formed overlying the cobalt-containing protective cap to a thickness of less than 50% of the thickness deposited along the sidewalls of the via, and wherein the barrier layer has a thickness at the sidewalls of less than or about 10 nm; and

filling the via with copper directly overlying the barrier layer. 14. A semiconductor structure comprising:

a first layer of dielectric material;

a first conductive layer disposed at least partially within the first layer of dielectric material;

a second layer of dielectric material;

a second conductive layer disposed at least partially within the second layer of dielectric material; and

a third conductive layer disposed between the first conductive layer and the second conductive layer, wherein the third conductive layer comprises a conductive material different from the material comprising the first conductive layer or the second conductive layer.

15. The semiconductor structure of claim 14, wherein the second conductive layer comprises a first portion having a first width and a second portion having a second width less than the first width, wherein the second portion is disposed closer to the first conductive layer than the first portion. 16. The semiconductor structure of claim 14, further comprising a third layer of dielectric material positioned between the first layer of dielectric material and the second layer of dielectric material. 17. The semiconductor structure of claim 16, wherein the third conductive layer is positioned between the first layer of dielectric material and the third layer of dielectric material. 18. The semiconductor structure of claim 17, wherein the third conductive layer comprises a first thickness at a position between the first layer of dielectric material and the third layer of dielectric material, and a second thickness different from the first thickness at a position between the first conductive layer and the second conductive layer.

Description:
PROTECTIVE VIA CAP FOR IMPROVED INTERCONNECT

PERFORMANCE

CROSS REFERENCES TO RELATED APPLICATIONS [0001] The present application claims priority to U.S. Non-Provisional Patent Application No. 14/291,466, filed May 30, 2014, entitled "PROTECTIVE VIA CAP FOR IMPROVED

INTERCONNECT PERFORMANCE." The entire contents of which is incorporated herein by reference for all purposes.

TECHNICAL FIELD

[0002] The present technology relates to semiconductor systems, processes, and equipment. More specifically, the present technology relates to systems, methods, and structures for improving interconnect structures to reduce electromigration.

BACKGROUND

[0003] Integrated circuits may include more than one million micro-electronic field effect transistors that are formed on a substrate and cooperate to perform various functions within the circuit. Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very-large-scale integration ("VLSI") and ultra-large-scale integration ("ULSI") of semiconductor devices. However, as the limits of integrated circuit technology are pushed and extended vertically, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on processing capabilities. Reliable formation of the vias and interconnects is important to integrated circuit success and to the continued effort to increase circuit density and quality of individual substrates and die.

[0004] As feature sizes have reduced, so have the interconnects and vias electrically connecting the various structures. However, safety features included with interconnects and vias may cause steep increases in line resistance and resistive-capacitive delay throughout the circuit structure. Additionally, electromigration can become increasingly destructive as feature sizes shrink. [0005] Thus, there is a need for improved systems and methods that can be used to produce high quality devices and structures. These and other needs are addressed by the present technology.

SUMMARY

[0006] Systems, structures, and processes are provided for improving interconnect and via performance. The systems may provide configurations for components that allow multiple processes to be performed without changing environment or exposing structures to ambient conditions. The structures may include integrated circuit structures that may reduce

electromigration. The methods may provide for the limiting of atomic movement in

interconnects or across interconnects.

[0007] Exemplary methods of forming a semiconductor structure may include etching a via through a semiconductor structure, where the etching exposes a first metal. The methods may include forming a layer of material over the exposed first metal, and may further include forming a second metal over the layer of material. The layer of material may include a transition metal or a transition metal oxide in embodiments, and the layer of material may be formed to a thickness of between about 0.5 nm and about 10 nm in embodiments. The methods may further include forming a barrier layer within the etched via prior to forming the second metal overlying the layer of material. The barrier may be deposited to a first thickness along the sidewalls of the via, and to a second thickness less than the first thickness over the layer of material. In disclosed embodiments the via may include no other materials besides the second metal and barrier layer. In embodiments the barrier layer may include a transition metal such as manganese, and at least one of the first metal and the second metal may include copper.

[0008] In disclosed embodiments the first metal may be an interconnect for a first level of a semiconductor structure, and the second metal may be an interconnect for a second level of a semiconductor structure. The etching operation may include contacting a portion of the semiconductor structure with non-reactive ions from a capacitively-coupled plasma, and then exposing the contacted portion of the semiconductor structure to a plasma-generated reactive species. The etching operation may be performed as part of a single or dual damascene process, and the semiconductor structure may be maintained under vacuum between the etching operation and the layer of material forming operation. [0009] Methods of forming a protective cap within an integrated circuit structure are also disclosed and include etching a via through a semiconductor structure. The semiconductor structure may include at least a first circuit layer and a second circuit layer, and the etching may be performed through the second circuit layer to expose an interconnect metal in the first circuit layer. The methods may include cleaning the interconnect metal, and may also include forming a cobalt-containing protective cap overlying the exposed first metal. The methods may still further include forming a barrier layer including manganese along the sidewalls of the via.

During the formation, the barrier layer may be formed overlying the cobalt-containing protective cap to a thickness of less than 50% of the thickness deposited along the sidewalls of the via. In disclosed embodiments, the barrier layer may have a thickness at the sidewalls of less than or about 10 nm. The methods may also include filling the via with copper directly overlying the barrier layer.

[0010] Semiconductor structures are also disclosed and may include a first layer of dielectric material, and a first conductive layer disposed at least partially within the first layer of dielectric. The structures may include a second layer of dielectric material, as well as a second conductive layer disposed at least partially within the second layer of dielectric. The structures may also include a third conductive layer disposed between the first conductive layer and the second conductive layer. The third conductive layer may include a conductive material different from the material comprising the first conductive layer or the second conductive layer. Exemplary structures may include the second conductive layer having a first portion having a first width and a second portion having a second width less than the first width. The second portion may be disposed closer to the first conductive layer than the first portion. The structure may also include a third layer of dielectric material positioned between the first layer of dielectric material and the second layer of dielectric material. The third conductive layer may be positioned between the first layer of dielectric material and the third layer of dielectric material in disclosed

embodiments. The third conductive layer may include a first thickness at a position between the first layer of dielectric material and the third layer of dielectric material, and a second thickness different from the first thickness at a position between the first conductive layer and the second conductive layer. [0011] Such technology may provide numerous benefits over conventional systems and techniques. For example, as electromigration is reduced, device lifetime may be increased. An additional advantage is that improved systems may reduce queue times and device oxidation or corrosion. These and other embodiments, along with many of their advantages and features, are described in more detail in conjunction with the below description and attached figures.

BRIEF DESCRIPTION OF THE DRAWINGS

[0012] A further understanding of the nature and advantages of the disclosed technology may be realized by reference to the remaining portions of the specification and the drawings. [0013] FIG. 1 shows a top plan view of an exemplary processing system according to the present technology.

[0014] FIG. 2 shows a schematic cross-sectional view of an exemplary processing chamber according to the present technology.

[0015] FIG. 3 shows a method of forming a selective metal cap over an interconnect metal according to embodiments of the disclosed technology.

[0016] FIG. 4 shows a graphical model of projected critical current density effect with reduced barrier thickness.

[0017] FIG. 5 shows a graph of a bi-modal distribution of electromigration failure times for multiple barrier materials. [0018] FIG. 6 shows an exemplary cross-sectional structure of a portion of an integrated circuit including a selective metal cap according to embodiments of the disclosed technology.

[0019] FIG. 7 shows a method of forming a selective metal cap over an interconnect metal according to embodiments of the disclosed technology.

[0020] Certain figures are included as schematics. It is to be understood that the figures are for illustrative purposes, and are not to be considered of scale unless specifically stated to be as such.

[0021] In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a letter that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the letter.

DETAILED DESCRIPTION

[0022] The present technology includes systems, structures, and methods for improving interconnect and via performance, and overall electrical performance for integrated circuit ("IC") devices. With the decrease in semiconductor feature size, many issues including electrical issues can arise. Many IC devices utilize copper or tungsten as the via and interconnect metal within and between the device layers. Utilizing copper as the via and interconnect metal, for example, often includes the use of a barrier layer within trenches and vias to limit copper diffusion into the surrounding layers, which can otherwise cause shorting and device failures. Additionally, as device features as well as interconnect trenches and vias shrink in size, the interconnect metal may suffer from incomplete fill based on the high aspect ratios within the trenches. [0023] Conventional technologies have often dealt with this degradation by utilizing liners to improve gap fill. However, the more barrier and liner material included within the trenches and vias, the less copper fills the volume. Because copper is more conductive than the barrier and liner materials, the less copper that is in the via or trench, the greater the effective resistance, which when compounded throughout what may be multiple layers of the device, can

substantially affect the overall resistive-capacitive ("RC") delay. These issues may be handled by thinning the barriers and even removing liners, however in so doing the device may suffer from poor electromigration characteristics as will be discussed below with FIGS. 3-4. The present technology, on the other hand, utilizes a cap layer and may use barrier-less via bottom effects to provide both the back stress in order to compensate for the electromigration effects, as well as a minimum amount of non-copper material within the via to minimize via and line resistances. Accordingly, the methods and structures described herein provide improved performance and cost benefits over many conventional designs. These and other benefits will be described in detail below. [0024] Although the remaining disclosure will routinely identify specific etching processes utilizing the disclosed technology, it will be readily understood that the systems and methods are equally applicable to deposition and cleaning processes as may occur in the described chambers. Accordingly, the technology should not be considered to be so limited as for use with etching processes alone.

[0025] FIG. 1 shows a top plan view of one embodiment of a processing system 100 of deposition, etching, baking, and curing chambers according to embodiments. The processing tool 100 depicted in FIG. 1 may contain a plurality of process chambers, 114A-D, a transfer chamber 110, a service chamber 116, an integrated metrology chamber 117, and a pair of load lock chambers 106A-B. The process chambers may include structures or components similar to those described in relation to Figure 2, as well as additional processing chambers including a deposition chamber.

[0026] To transport substrates among the chambers, the transfer chamber 110 may contain a robotic transport mechanism 1 13. The transport mechanism 113 may have a pair of substrate transport blades 113A attached to the distal ends of extendible arms 113B, respectively. The blades 1 13A may be used for carrying individual substrates to and from the process chambers. In operation, one of the substrate transport blades such as blade 113A of the transport mechanism 113 may retrieve a substrate W from one of the load lock chambers such as chambers 106A-B and carry substrate W to a first stage of processing, for example, an etching process as described below in chambers 114A-D. If the chamber is occupied, the robot may wait until the processing is complete and then remove the processed substrate from the chamber with one blade 113A and may insert a new substrate with a second blade (not shown). Once the substrate is processed, it may then be moved to a second stage of processing, which may include a deposition operation, a treatment operation, etc. For each move, the transport mechanism 113 generally may have one blade carrying a substrate and one blade empty to execute a substrate exchange. The transport mechanism 113 may wait at each chamber until an exchange can be accomplished.

[0027] Once processing is complete within the process chambers, the transport mechanism 113 may move the substrate W from the last process chamber and transport the substrate W to a cassette within the load lock chambers 106A-B. From the load lock chambers 106A-B, the substrate may move into a factory interface 104. The factory interface 104 generally may operate to transfer substrates between pod loaders 105A-C in an atmospheric pressure clean environment and the load lock chambers 106A-B. The clean environment in factory interface 104 may be generally provided through air filtration processes, such as HEPA filtration, for example. Factory interface 104 may also include a substrate orienter/aligner (not shown) that may be used to properly align the substrates prior to processing. At least one substrate robot, such as robots 108A-B, may be positioned in factory interface 104 to transport substrates between various positions/locations within factory interface 104 and to other locations in communication therewith. Robots 108A-B may be configured to travel along a track system within enclosure 104 from a first end to a second end of the factory interface 104. [0028] The processing system 100 may further include an integrated metrology chamber 117 to provide control signals, which may provide adaptive control over any of the processes being performed in the processing chambers. The integrated metrology chamber 117 may include any of a variety of metrological devices to measure various film properties, such as thickness, roughness, composition, and the metrology devices may further be capable of characterizing grating parameters such as critical dimensions, sidewall angle, and feature height under vacuum in an automated manner.

[0029] Turning now to FIG. 2 is shown a cross-sectional view of an exemplary process chamber system 200 according to the present technology. Chamber 200 may be used, for example, in one or more of the processing chamber sections 114 of the system 100 previously discussed Generally, the etch chamber 200 may include a first capacitively-coupled plasma source to implement an ion-milling operation and a second capacitively-coupled plasma source to implement an etching operation and to implement an optional deposition operation. The chamber 200 may include grounded chamber walls 240 surrounding a chuck 250. In

embodiments, the chuck 250 may be an electrostatic chuck that clamps the substrate 202 to a top surface of the chuck 250 during processing, though other clamping mechanisms as would be known may also be utilized. The chuck 250 may include an embedded heat exchanger coil 217. In the exemplary embodiment, the heat exchanger coil 217 includes one or more heat transfer fluid channels through which heat transfer fluid, such as an ethylene glycol/water mix, may be passed to control the temperature of the chuck 250 and ultimately the temperature of the substrate 202. [0030] The chuck 250 may include a mesh 249 coupled to a high voltage DC supply 248 so that the mesh 249 may carry a DC bias potential to implement the electrostatic clamping of the substrate 202. The chuck 250 may be coupled with a first RF power source and in one such embodiment, the mesh 249 may be coupled with the first RF power source so that both the DC voltage offset and the RF voltage potentials are coupled across a thin dielectric layer on the top surface of the chuck 250. In the illustrative embodiment, the first RF power source may include a first and second RF generator 252, 253. The RF generators 252, 253 may operate at any industrially utilized frequency, however in the exemplary embodiment the RF generator 252 may operate at 60 MHz to provide advantageous directionality. Where a second RF generator 253 is also provided, the exemplary frequency may be 2 MHz.

[0031] With the chuck 250 to be RF powered, an RF return path may be provided by a first showerhead 225. The first showerhead 225 may be disposed above the chuck to distribute a first feed gas into a first chamber region 284 defined by the first showerhead 225 and the chamber wall 240. As such, the chuck 250 and the first showerhead 225 form a first RF coupled electrode pair to capacitively energize a first plasma 270 of a first feed gas within a first chamber region 284. A DC plasma bias, or RF bias, resulting from capacitive coupling of the RF powered chuck may generate an ion flux from the first plasma 270 to the substrate 202, e.g., Ar ions where the first feed gas is Ar, to provide an ion-milling plasma. The first showerhead 225 may be grounded or alternately coupled with an RF source 228 having one or more generators operable at a frequency other than that of the chuck 250, e.g., 13.56 MHz or 60 MHz. In the illustrated embodiment the first showerhead 225 may be selectably coupled to ground or the RF source 228 through the relay 227 which may be automatically controlled during the etch process, for example by a controller (not shown). In disclosed embodiments, chamber 200 may not include showerhead 225 or dielectric spacer 220, and may instead include only baffle 215 and showerhead 210.

[0032] As further illustrated in the figure, the etch chamber 200 may include a pump stack capable of high throughput at low process pressures. In embodiments, at least one turbo molecular pump 265, 266 may be coupled with the first chamber region 284 through one or more gate valves 260 and disposed below the chuck 250, opposite the first showerhead 225. The turbo molecular pumps 265, 266 may be any commercially available pumps having suitable throughput and more particularly may be sized appropriately to maintain process pressures below or about 10 mTorr or below or about 5 mTorr at the desired flow rate of the first feed gas, e.g., 50 to 500 seem of Ar where argon is the first feedgas. In the embodiment illustrated, the chuck 250 may form part of a pedestal which is centered between the two turbo pumps 265 and 266, however in alternate configurations chuck 250 may be on a pedestal cantilevered from the chamber wall 240 with a single turbo molecular pump having a center aligned with a center of the chuck 250.

[0033] Disposed above the first showerhead 225 may be a second showerhead 210. In one embodiment, during processing, the first feed gas source, for example, Argon delivered from gas distribution system 290 may be coupled with a gas inlet 276, and the first feed gas flowed through a plurality of apertures 280 extending through second showerhead 210, into the second chamber region 281, and through a plurality of apertures 282 extending through the first showerhead 225 into the first chamber region 284. An additional flow distributor or baffle 215 having apertures 278 may further distribute a first feed gas flow 216 across the diameter of the etch chamber 200 through a distribution region 218. In an alternate embodiment, the first feed gas may be flowed directly into the first chamber region 284 via apertures 283 which are isolated from the second chamber region 281 as denoted by dashed line 223.

[0034] Chamber 200 may additionally be reconfigured from the state illustrated to perform an etching operation. A secondary electrode 205 may be disposed above the first showerhead 225 with a second chamber region 281 there between. The secondary electrode 205 may further form a lid or top plate of the etch chamber 200. The secondary electrode 205 and the first showerhead 225 may be electrically isolated by a dielectric ring 220 and form a second RF-coupled electrode pair to capacitively discharge a second plasma 292 of a second feed gas within the second chamber region 281. Advantageously, the second plasma 292 may not provide a significant RF bias potential on the chuck 250. At least one electrode of the second RF coupled electrode pair may be coupled with an RF source for energizing an etching plasma. The secondary electrode 205 may be electrically coupled with the second showerhead 210. In an exemplary embodiment, the first showerhead 225 may be coupled with a ground plane or floating and may be coupled to ground through a relay 227 allowing the first showerhead 225 to also be powered by the RF power source 228 during the ion-milling mode of operation. Where the first showerhead 225 is grounded, an RF power source 208, having one or more RF generators operating at 13.56 MHz or 60 MHz, for example, may be coupled with the secondary electrode 205 through a relay 207 which may allow the secondary electrode 205 to also be grounded during other operational modes, such as during an ion-milling operation, although the secondary electrode 205 may also be left floating if the first showerhead 225 is powered.

[0035] A second feed gas source, such as nitrogen trifluoride, and a hydrogen source, such as ammonia, may be delivered from gas distribution system 290, and coupled with the gas inlet 276 such as via dashed line 224. In this mode, the second feed gas may flow through the second showerhead 210 and may be energized in the second chamber region 281. Reactive species may then pass into the first chamber region 284 to react with the substrate 202. As further illustrated, for embodiments where the first showerhead 225 is a multi-channel showerhead, one or more feed gases may be provided to react with the reactive species generated by the second plasma 292. In one such embodiment, a water source may be coupled with the plurality of apertures 283. [0036] In an embodiment, the chuck 250 may be movable along the distance H2 in a direction normal to the first showerhead 225. The chuck 250 may be on an actuated mechanism surrounded by a bellows 255, or the like, to allow the chuck 250 to move closer to or farther from the first showerhead 225 as a means of controlling heat transfer between the chuck 250 and the first showerhead 225, which may be at an elevated temperature of 80°C - 150°C, or more. As such, an etch process may be implemented by moving the chuck 250 between first and second predetermined positions relative to the first showerhead 225. Alternatively, the chuck 250 may include a lifter 251 to elevate the substrate 202 off a top surface of the chuck 250 by distance HI to control heating by the first showerhead 225 during the etch process. In other embodiments, where the etch process is performed at a fixed temperature such as about 90- 110°C for example, chuck displacement mechanisms may be avoided. A system controller (not shown) may alternately energize the first and second plasmas 270 and 292 during the etching process by alternately powering the first and second RF coupled electrode pairs automatically.

[0037] The chamber 200 may also be reconfigured to perform a deposition operation. A plasma 292 may be generated in the second chamber region 281 by an RF discharge which may be implemented in any of the manners described for the second plasma 292. Where the first showerhead 225 is powered to generate the plasma 292 during a deposition, the first showerhead 225 may be isolated from a grounded chamber wall 240 by a dielectric spacer 230 so as to be electrically floating relative to the chamber wall. In the exemplary embodiment, an oxidizer feed gas source, such as molecular oxygen, may be delivered from gas distribution system 290, and coupled with the gas inlet 276. In embodiments where the first showerhead 225 is a multichannel showerhead, any metal-containing precursor, such as silicon-containing precursors or other metal-containing precursors for example, may be delivered from gas distribution system 290, and directed into the first chamber region 284 to react with reactive species passing through the first showerhead 225 from the plasma 292. Alternatively the precursor may also be flowed through the gas inlet 276 along with the oxidizer.

[0038] FIG. 3 shows a method 300 of forming a semiconductor structure with improved electrical characteristics according to the present technology. Method 300 may be performed at least partially in chamber 200, for example, or may be performed in one or more other process chambers configured to perform etching and/or deposition operations. The one or more chambers may be contained in a single process tool in disclosed embodiments, such as process tool 100 previously described. By maintaining the one or more chambers within a single tool, the semiconductor device may be maintained within a conditioned environment. For example, the process tool may maintain a vacuum environment, and by maintaining the device within the tool environment at all times during processing, the device may not be exposed to ambient air. Because copper and other metals may oxidize or corrode in ambient conditions including humid environments, device quality may be improved by performing all operations within a single tool environment. Accordingly, in disclosed embodiments, the semiconductor structure may be maintained under a vacuum between the etching operation and the formation of the layer of material. [0039] The method 300 may begin by performing an etching operation 310 on a semiconductor structure. The semiconductor structure may include one or more IC layers, at least two for example, and may include at least one metallization layer such as in a bottom circuit structure in disclosed embodiments. Etching operation 310 may form a via through one or more layers of material in order to expose a first metal, such as the metallization layer, in the lower layer.

Method 300 may also include forming a layer of material over the exposed first metal in operation 320. The layer of material may include a material different from the first metal in disclosed embodiments, and may include a conductive and/or metal-containing material.

Method 300 may further include forming a second metal over the layer of material in operation 330, and the second metal may be the same or different from the first metal in disclosed embodiments. For example, the first and second metal may both be copper or tungsten in embodiments, and may be any other fill material utilized for electrical connections such as vias or interconnects in disclosed embodiments. In one embodiment, both the first metal and second metal may be copper, and the first metal forms the interconnect structure for a first level of a semiconductor structure or IC layer, and the second metal forms the interconnect for a second level of a semiconductor structure or IC layer.

[0040] The layer of material formed in operation 320 may include a conductive material or metal in disclosed embodiments. For example, the conductive material may include a transition metal or a transition metal oxide, e.g. including cobalt, manganese, tungsten, etc. Additionally, the conductive material may be selected based on the interconnect or fill metal used. For example, if cobalt were used as the fill metal, then a different metal may be used for the conductive material, such as tungsten or copper or some other metal, to avoid having the same material for both the interconnect metal and the conductive material or cap material. In disclosed embodiments the conductive material may include cobalt, ruthenium, tantalum, etc. among various other metals and transition metals. In one embodiment the conductive material includes cobalt, which may be formed in a layer over the exposed first metal, such as an interconnect metal including copper in a lower IC layer. The conductive material may be deposited by any of a variety of deposition techniques including cyclic depositions or direct depositions by any of several known methods including vapor depositions, thermal depositions, and/or plasma depositions. In disclosed embodiments, the particular precursors of the material may be selected to deposit or form the layer of material on the exposed interconnect metal, without any or minimal formation along the sidewalls of the trench or via sidewalls.

[0041] In some embodiments, the layers may be formed with a plasma or may be exposed to a plasma after formation, such as by igniting hydrogen gas, ammonia, or some other reducing precursor or combination in situ or remotely from the processing chamber. The conductive material may be deposited by thermal decomposition of a metallic source gas, such as cobalt, carried by an inert gas. A reducing gas may be co-flowed or alternately pulsed into the processing chamber along with the metallic source gas. The substrate may be heated to a temperature within a range from about 50° C to about 600° C, such as from about 100° C to about 500° C, such as from about 200° C to about 400° C. Alternatively, the layer or layers of material may be deposited by exposing the substrate to a metallic source gas, such as a cobalt source gas, in an ALD or CVD process including various plasma-enhanced CVD and/or ALD processes.

[0042] The metallic compound may include one or more cobalt materials in disclosed embodiments, and the cobalt materials, e.g., metallic cobalt or cobalt alloys, contained within the layer of material may be formed by CVD or ALD processes utilizing suitable cobalt precursors which include cobalt carbonyl complexes, cobalt amidinates compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof.

[0043] In some embodiments, cobalt carbonyl compounds or complexes may be utilized as cobalt precursors for forming the cobalt materials during the vapor deposition process. Cobalt carbonyl compounds or complexes have the general chemical formula (CO) x Co y L z , where X may be 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12, Y may be 1, 2, 3, 4, or 5, and Z may be 1, 2, 3, 4, 5, 6, 7, or 8. The group L may be absent, one ligand, or multiple ligands that may be the same ligand or different ligands, and include cyclopentadienyl, alkylcyclopentadienyl (e.g.,

methylcyclopentadienyl or pentamethylcyclopentadienyl), pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, ethylene, allyl (or propylene), alkenes, dialkenes, alkynes, acetylene, butylacetylene, nitrosyl, ammonia, derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof.

[0044] In another embodiment, cobalt amidinates or cobalt amido complexes may be utilized as cobalt precursors for forming the cobalt materials during the vapor deposition process. Cobalt amido complexes have the general chemical formula (RR'N) x Co, where X may be 1, 2, or 3, and R and R are independently hydrogen, methyl, ethyl, propyl, butyl, alkyl, silyl, alkylsilyl, derivatives thereof, or combinations thereof. Some exemplary cobalt amido complexes include bis(di(butyldimethylsilyl)amido) cobalt, bis(di(ethyldimethylsilyl)amido) cobalt,

bis(di(propyldimethylsilyl)amido) cobalt, bis(di(trimethylsilyl)amido) cobalt, tris(di(trimethylsilyl)amido) cobalt, derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof.

[0045] Exemplary cobalt precursors include methylcyclopentadienyl cobalt bis(carbonyl), ethylcyclopentadienyl cobalt bis(carbonyl), pentamethylcyclopentadienyl cobalt bis(carbonyl), dicobalt octa(carbonyl), nitrosyl cobalt tris(carbonyl), bis(cyclopentadienyl) cobalt,

(cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1,3-hexadienyl),

(cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt,

(cyclopentadienyl) cobalt (5-methylcyclopentadienyl), bis(ethylene) cobalt

(pentamethylcyclopentadienyl), cobalt tetracarbonyl iodide, cobalt tetracarbonyl trichlorosilane, carbonyl chloride tris(trimethylphosphine) cobalt, cobalt tricarbonyl-hydrotributylphosphine, acetylene dicobalt hexacarbonyl, acetylene dicobalt pentacarbonyl triethylphosphine, derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof.

[0046] In some examples, alternative reagents, including reducing agents, may be used with cobalt precursors for forming the cobalt materials during the vapor deposition process as described herein. These alternative reagents may include hydrogen (e.g., H 2 or atomic-H), nitrogen (e.g., N 2 or atomic-N), ammonia (NH 3 ), hydrazine (N 2 H 4 ), a hydrogen and ammonia mixture, borane (BH 3 ), diborane (B 2 H 6 ), triethylborane (Et 3 B), silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H 10 ), methyl silane (SiCH 6 ), dimethylsilane (SiC 2 H 8 ), phosphine (PH 3 ), derivatives thereof, plasmas thereof, or combinations thereof. [0047] The cobalt-containing material may be deposited having a thickness within a range from about 2 A to about 100 A, such as from about 10 A to about 40 A. In other embodiments, a cobalt oxide layer may be formed by oxidizing at least a portion of the cobalt-containing material during a surface oxidation process. In one embodiment, cobalt oxide is formed from an upper portion of the cobalt-containing layer. In disclosed embodiments, the cobalt-containing layer may be completely oxidized or substantially oxidized and therefore consumed to form a layer of cobalt oxide.

[0048] Etching operation 310 may include formation of both a trench and a via in disclosed embodiments. For example, the etching operation 310 may include formation of a wider trench as well as a narrower via that extends to the lower metal layer. The etching operation may also be performed through one or more layers of dielectric material or etch stop layers, for example, and may be performed continuously or in discrete intervals in disclosed embodiments. For example, etching operation 310 may be performed in a chamber capable of performing an ion- milling operation followed by an etching operation, such as chamber 200 described above.

Additionally, multiple etch chambers may be utilized. For example, an etch operation may be performed for trench and via opening by mask layers that may be stopped at an etch stop layer between an upper and a lower IC layer. An ion-milling and etch operation as described above may then be performed to open the etch stop layer to expose the underlying metal. Operation 310 may include contacting a portion of the semiconductor structure with non-reactive ions from a capacitively-coupled plasma such as described above, and then exposing the contacted portion of the semiconductor structure to a plasma-generated reactive species. In this way, the etching process 310 may be performed selectively for each layer through which the etch is to be performed, and may be caused to cease prior to damage of underlying layers, which may allow the layer of material to be deposited in a different order.

[0049] The processes of method 300 may be part of a damascene process including a single or dual damascene process in disclosed embodiments. Selective metal caps may be formed subsequent to the formation of the underlying IC layer. After the following or upper layers are formed, trench and via etching may extend to the interconnect metal in the underlying layer. Due to imperfect processes, such an etch may etch through the selective metal cap portion formed above the first level interconnect metal. As will be explained below, this may cause electromigration issues. Utilizing the ion-milling and etch process described, however, the etching may be tuned by utilizing particular chemistries to stop upon reaching the cobalt layer without causing damage to that layer. In disclosed embodiments, the cobalt material may be reacted to form a surface-level byproduct that will not etch during the etching process. Once the etching operation is complete, the wafer may be moved closer to a heating element, for example, to cause sublimation of the byproducts to expose the underlying cobalt. Additional cobalt- containing material may be deposited over the thin selective metal cap formed, or repair may be made to the layer in the event it is damaged during the etching process 310.

[0050] After the layer of material has been deposited, the method 300 may also include forming a barrier layer within the etched via and/or trench prior to forming the second metal overlying the layer of material. The barrier layer may include a number of materials including metal, non-metal, transition metal, or poor metal materials, a non-exclusive list including manganese, tantalum, nickel, etc. The barrier material may include a transition metal as well as a transition metal-containing material such as a nitride, oxide, carbide, boride, etc., such as manganese nitride, for example. The material may include a variety of alloys or other materials such as ceramic or ceramic-like materials or any other material that may be suitable to reduce or prevent copper, tungsten, or other interconnect materials from diffusing into the surrounding materials. Although disclosed embodiments may include forming a liner within the trench, disclosed embodiments may include no other materials within the trench and/or via besides the second metal, such as copper. As explained above, the less volume that is occupied by the interconnect metal, the greater the resistance through the layers, and the greater the delay of the device and power loss throughout the structure. Accordingly, the present technology may maximize the volume within the trench that is occupied by the via conductor.

[0051] The barrier material may be formed in a number of ways including physical deposition and/or CVD or ALD processes, as well as electroless (E-less) or other formation processes. In disclosed embodiments, the barrier material may include manganese, such as manganese nitride or silicate for example, that is deposited by CVD. By using such a process, a bottomless via fill may be performed, in which there is complete or substantially complete coverage of the sidewall, but minimal or reduced coverage at the bottom of the via, such as overlying the cobalt-containing layer. For example, the barrier layer may be deposited to a first thickness along the sidewalls of the via, and to a second thickness less than the first thickness over the layer of material formed. This may help to further maximize the fill metal, such as copper fill in the via, by minimizing the additional material within the space. However, the inventors have determined effects that may be caused by the bottomless via phenomenon which may have an impact on electromigration.

[0052] Bottomless via scenarios may appear beneficial due the lower resistance provided with reduced coverage. However, electromigration problems may surface due to the reduced coverage between the lower-level interconnect metal and upper-level interconnect metal. Put another way, electromigration issues focus on both the electron flux as well as the motion of fill metal atoms, such as copper atoms. Where there is little or no interface between the upper-level copper and lower-level copper, or between any two similar metals utilized for the upper and lower interconnect metals and via metal, electromigration flux may increase inducing the metal atoms to move. This may cause voids to form in the interconnect structure, which may hasten device failure. Conventional techniques recommend utilizing short interconnects, such as less than 50 μιη in length, which may provide sufficient back stress from a back stress stop to compensate for any electron flux that can actually move copper atoms. However, as shown in FIG. 4, this may not be sufficient.

[0053] FIG. 4 illustrates a model of projected critical current density effect with reduced barrier thickness. However, testing has shown that the model fails as barrier width is scaled. As shown at position 410 for a 10 nm thick barrier, the model fails as critical current density is substantially reduced illustrating that short interconnect length when scaled still fails to overcome electromigration and shortened device lifetimes. On the other end of the spectrum for long interconnects, such as greater than 200 μιη, a different phenomenon may occur. FIG. 5 shows electromigration failure testing measurements for a control barrier 510 and for a bottomless via formation of manganese nitride 520. Control barrier 510 indicates a lower time to failure, while bottomless via barrier 520 tested over a seven-fold improvement, which would indicate a much improved design.

[0054] However, further testing showed that this is actually a false signal developed from movement due to electromigration. The structures providing the seemingly higher

electromigration failure time was actually degrading the underlying structure. Generally, electromigration may cause voids to form in the upper surface due to the direction of electron flux. However, where the bottomless via barrier was used and the upper and lower interconnect metals contacted, the flux actually caused copper to be pulled from the lower IC layer creating voids and structure breakage in the lower layer. Although the device appeared to continue to operate during failure testing, this was in actuality due to the movement of copper atoms from the lower layer to the upper layer, indicating device failure may actually occur much earlier. [0055] Surprisingly, though, the inventors have determined that by combining the cap layer over the lower level interconnect metal, while also utilizing the bottomless via barrier, the cap layer may provide back stress balancing the electron flux to prevent copper atom movement, while additionally reducing the via and line resistance by reducing the thickness and amount of material utilized for the barrier. Copper may then fill a greater volume of the via and trench, which can help to reduce via resistance and overall RC delay throughout the device. It is to be understood that although copper is routinely identified as a fill metal, any known fill metal or conductive metal may be used including tungsten, cobalt, etc.

[0056] FIG. 6 illustrates an exemplary cross-sectional structure of a portion of an integrated circuit 600 including a selective metal cap according to embodiments of the disclosed technology. Structure 600 may be formed by any of the methods discussed elsewhere in this document, and may be formed in any of the chambers or process tools discussed herein, for example, among a number of other known processes and chambers for lithography, deposition, and etching. The figure illustrates a partially manufactured dual damascene interconnect structure according to the disclosed technology. It will be readily understood, however, that the present technology may be applied to simpler as well as more complex structures including other dual and single damascene processes. It will also be understood that IC devices often include multiple dual damascene structures for which the present techniques may be employed, and thus the technology should not be considered limited by this figure.

[0057] The structure of IC 600 includes two layers of structure and exemplary layers that may be included. More or less layers are also encompassed including more or less dielectric layers, features, devices, etch stop layers, etc. Structure 600 as illustrated includes a lower layer including a bottom dielectric 605, a first layer of dielectric material or interlayer dielectric 610, and upper dielectric 615, which may be an etch stop layer in disclosed embodiments. The dielectric layers may include any of a variety of low-k dielectrics including silicon-based dielectrics including nitrides, oxides, carbides, etc. Lower layer also includes metallization layer 635, which may be a first conductive layer disposed at least partially within the first layer of dielectric, and which may be a copper interconnect metal for example. In disclosed

embodiments, the lower layer may also include a selective cap or third conductive layer that may be formed between dielectric layers 610, 615 subsequent to polishing of metal 635 and dielectric layer 610.

[0058] For the upper structure, the device may include a second layer of dielectric 620, as well as an upper dielectric or etch stop layer 625, as well as optional hard mask layer 630, for example. Second layer of dielectric 620 may be overlying the dielectric layer 615, which may be considered a third dielectric layer disposed in between the first and second dielectric layers. A second conductive layer 640, which may include both upper interconnect and via material, may be disposed at least partially within the second layer of dielectric 620. Conductive layer 640 may include a first or upper portion 643 having a first width and a second or lower portion 646 having a second width less than the first width. As illustrated, the second portion 646 may be disposed closer to the first conductive layer than the first portion 643. In disclosed

embodiments, the trench 643 may be etched separately from the via 646, and the structure may be formed in multiple etching operations.

[0059] A third conductive layer 645 may be disposed between the first conductive layer 635 and the second conductive layer 640. The third conductive layer 645 may include a conductive material different from the material included in the first conductive layer or the second conductive layer. Any of the previously discussed materials or methods may be used in structure 600, and in one embodiment first and second conductive layers may include copper, and third conductive layer 645 may include cobalt, for example. Structure 600 may also include a barrier layer at least partially disposed on the sidewalls 650 of the trench and via. The barrier may or may not also include coverage 653 over the third conductive material 645. In embodiments, the barrier layer may have a sidewall thickness of less than or about 20 nm, and may be less than or about 15 nm, 10 nm, 9 nm, 8 nm, 7 nm, 6 nm, 5 nm, 4 nm, 3 nm, 2 nm, 1 nm, etc. The barrier layer may include one or more materials such as a including a material and a nitride of that material, or two different materials. In disclosed embodiments, the barrier may include less coverage in region 653, and may include a thickness of barrier that is less than, equal to, or about 90% the coverage of the sidewalls 650, and may be less than, equal to, or about 80%>, 70%>, 60%>, 50%), 40%), 30%o, 20%), 10%), 5%>, 1%, or any other number or smaller range included in those ranges. Where a selective cap is positioned between first dielectric 610 and third dielectric 615, that cap may be the same material as the third conductive material. Additionally, the cap may have a first thickness between the first dielectric 610 and third dielectric 615. The third conductive material may have a second thickness different from the first thickness of the cap. The second thickness may be greater than or less than the first thickness in disclosed

embodiments.

[0060] Turning to FIG. 7 is shown a method 700 of forming a cap over an interconnect metal according to embodiments of the disclosed technology. Method 700 may include some or all of the method operations previously described, and may be performed in chamber 200, among a number of other process chambers, for example. Method 700 may be used in part or in some modified form to produce structure 600 or other structures. Method 700 includes etching a via 710 through a semiconductor structure. The structure may include at least a first circuit layer and a second circuit layer over the first circuit layer in disclosed embodiments. The etching operation 710 may be performed through the second circuit layer to expose an interconnect metal in the first circuit layer. At operation 720, the lower interconnect metal may be cleaned. Any of a number of cleaning processes may be performed including sputtering, reactive pre-cleaning that may include in situ hydrogen plasma, active pre-cleaning with ex situ hydrogen plasma, UV, or any other cleaning method that may include one or more plasma species from a variety of precursors for cleaning the surface of the underlying interconnect metal.

[0061] Method 700 may also include forming a cobalt-containing protective cap overlying the exposed first metal at operation 730. The cobalt-containing cap may be formed in any of the previously described ways. Method 700 may also include forming a barrier layer including manganese along the sidewalls of the via at operation 740. The barrier layer may be deposited overlying the cobalt-containing protective cap to a thickness of less than 50% of the thickness deposited along the sidewalls of the via. The barrier layer may have a thickness at the sidewalls of less than or about 10 nm in embodiments, and may have a discontinuous or minimal coating over the protective cap. Method 700 may also include filling the via at operation 750 with copper directly overlying the barrier layer. [0062] In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present technology. It will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details.

[0063] Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the embodiments. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present technology. Accordingly, the above description should not be taken as limiting the scope of the technology. [0064] Where a range of values is provided, it is understood that each intervening value, to the smallest fraction of the unit of the lower limit, unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Any narrower range between any stated values or unstated intervening values in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of those smaller ranges may independently be included or excluded in the range, and each range where either, neither, or both limits are included in the smaller ranges is also encompassed within the technology, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

[0065] As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural references unless the context clearly dictates otherwise. Thus, for example, reference to "a dielectric" includes a plurality of such dielectrics, and reference to "the layer" includes reference to one or more layers and equivalents thereof known to those skilled in the art, and so forth.

[0066] Also, the words "comprise(s)", "comprising", "contain(s)", "containing", "include(s)", and "including", when used in this specification and in the following claims, are intended to specify the presence of stated features, integers, components, or operations, but they do not preclude the presence or addition of one or more other features, integers, components, operations, acts, or groups.